State-of-The-Art Dielectric Etch Technology

Similar documents
Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Real time plasma etch control by means of physical plasma parameters with HERCULES

FinFET vs. FD-SOI Key Advantages & Disadvantages

CMP for More Than Moore

High energy electron fluxes in dc-augmented capacitively coupled plasmas I. Fundamental characteristics

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

Session 3: Solid State Devices. Silicon on Insulator

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

Nanofluidic Diodes based on Nanotube Heterojunctions

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

Alternatives to standard MOSFETs. What problems are we really trying to solve?

MOSFET & IC Basics - GATE Problems (Part - I)

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

Innovation to Advance Moore s Law Requires Core Technology Revolution

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers

System100Pro. Production tools for wafer processing. The Business of Science

Chapter 15 Summary and Future Trends

Ultra High-Speed InGaAs Nano-HEMTs

Control of plasma kinetics for microelectronics fabrication

State-of-the-art device fabrication techniques

FinFET Devices and Technologies

Effect of nonsinusoidal bias waveforms on ion energy distributions and fluorocarbon plasma etch selectivity

DYNAMICS OF NONLINEAR PLASMA-CIRCUIT INTERACTION *

Innovative Technologies for RF & Power Applications

EECS130 Integrated Circuit Devices

A Flexible Fabrication Process for RF MEMS Devices

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

Ion beam etch and deposition systems

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Supplementary Materials for

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

High Power RF MEMS Switch Technology

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Layout and technology

Ion energy distributions for collisional ion sheaths at an rf-biased plasma electrode

SQUID Test Structures Presented by Makoto Ishikawa

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

Optimized Process Performance Using the Paramount /Navigator Power- Delivery/Match Solution

SUPPLEMENTARY INFORMATION

Newer process technology (since 1999) includes :

EE C245 ME C218 Introduction to MEMS Design

Gallium nitride (GaN)

IMPACT OF 450MM ON CMP

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

EUV Interference Lithography in NewSUBARU

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

Module 2: CMOS FEOL Analysis

Quantitative Low Current Ion Beam Characterization by Beam Profiling and Imaging via Scintillation Screens

FOR SEMICONDUCTORS 2007 EDITION

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

Lecture #29. Moore s Law

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Challenges of EUV masks and preliminary evaluation

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

SUPPLEMENTARY INFORMATION

MULTI-FREQUENCY OPERATION OF RIE AND ICP SOURCES *

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

Wireless Metrology in Semiconductor Manufacturing

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

GaN power electronics

Progresses in NIL Template Fabrication Naoya Hayashi

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

Fabrication Techniques of Optical ICs

Process Optimization

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

Characterization of SC CVD diamond detectors for heavy ions spectroscopy

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

SiPM development within the FBK/INFN collaboration. G. Ambrosi INFN Perugia

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

EECS130 Integrated Circuit Devices

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Development of n-in-p Active Edge Pixel Detectors for ATLAS ITK Upgrade

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Quartz Disc Storage Systems

Major Fabrication Steps in MOS Process Flow

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED

2009 International Workshop on EUV Lithography

Chapter 3 Fabrication

Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

CD-SEM for 65-nm Process Node

Transcription:

State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM

Outline Dielectric Etch Challenges for State-of-The-Art Devices Control Parameters of CCP Basic Components of Dielectric Etch Chamber Physical Effects of DC Superposition and Etch Applications DC Superimposed CCP with RF Pulsing Potential Solutions toward Plasma Induced Material Damage Self-limiting Chemical Dry Etch Summary 2

Dielectric Etch Challenges for State-of-The-Art Devices TM

FEOL Dielectric Etch Challenges FinFET Sidewall Transfer LWR/LER Channel CD Trim Sel. to Mask Epitaxial growth for Source/Drain Iso/Dense CD Bias Recess Etch Recess Control Low Cristal Damage FinFET requires minimum LWR/LER and ultra low Si crystal damage with reproducible etch amount for dielectric etch. 4

Contact Etch Challenges guard ring CD Shrink short line/trench circle oval dual stress liner nickel silicide Sel. to CESL Sel. to NiSi & SiO2 Striation vs. GR Residue Defect Uniformity As scaling down CDs, RIE needs to compensate the narrow lithography margin, and also needs to deal with new materials and new device structures. 5

BEOL Dielectric Etch Challenges Tri-layer/resist scheme challenges Low-k damage Common challenges Defect Metal hard mask scheme challenges Sel. to Ti/TiN Striation Trench Depth, Uniformity Metal sputtering Reproducibility Sel. to ESL Trench surface roughness Cu (alloy) damage Sel. to Cu (alloy) Cu (alloy) damage Etch control parameters changes, depending on the dual damascene scheme. VFTL (Tri-layer resist scheme): low-k damage, striation, trench depth TFVL (Metal hard mask scheme): sel. to MHM, reproducibility, trench depth 6

HAR Dielectric Etch Challenges Sel. to Mask Distortion/Twisting Contact area variation Bowing Leakage between capacitors Sel. to ESL Bottom CD Etch Rate Uniformity Ref.: M. Wang (M. Kushner), AVS 2008 As scaling down CDs, new plasma control techniques need to be implemented into high aspect ratio dielectric etch. 7

Control Parameters of CCP TM

Basic Components of Dielectric Etch Chamber Multiple zone gas supply for radical distribution control Source RF power Option: Frequency selection Wafer edge ring for electron density uniformity ESC for wafer clamping Source RF power Option: Frequency selection Bias RF power Option: Frequency selection, single or dual 9

DC Superimposed CCP Negative DC Voltage Plasma generation Source RF Source RF Bias RF Bias RF Ion energy control Negative DC Potential control Negative DC voltage can control potential difference between the upper electrode and plasma. Superimposed DC voltage controls ion bombardments at the upper electrode, which change F* density and generate ballistic electrons. Superimposed DC voltage is another plasma process parameter. 10

Significance of Voltage Control of Upper Electrode Effect of interaction between Si-electrode and fluorocarbon plasma Negative DC Voltage Source RF Bias RF F, Si, CF, CF2, and CF3 radical density change as a function of Top Vdc applied to UEL. 98 GEC & ICRP: Sekine, et al. Potential difference between the upper electrode and plasma varies F/CF x radical ratio, which determines selectivity and etch profile. It is important to control potential between plasma and upper electrode for dielectric etch. 11

Mechanism of The Ballistic Electron s Generation Wafer Superimposed Negative DC UEL Collide Acc. Emitted Secondary e - Positive + + + + ions Acc. Ballistic electron beam Positive ions are accelerated towards the upper electrode by superimposed negative DC, and collides with the upper electrode. As a result, secondary electrons are emitted from the surface, and are accelerated in the sheath on the upper electrode. Consequently, ballistic electron beam is generated. 12

Ballistic Electron Effect 1 193 nm Resist Cross-sectional SEM images of the 193 nm resist blanket wafers processed with various DC voltages Without DC DC = - 500 V DC = - 1000 V DC = - 1500 V 0 nm (0 nm) 22 nm (18 nm) 83 nm (61 nm) 173 nm (119 nm) Modified layer thickness Modified layer (nm) thickness [nm] 200 160 120 80 40 0 Modified layer Deposition subtraction 0 500 1000 1500 CF4, 100 mt, 5 x 10 10 cm 3, Vpp = 70 V, 60 sec. Modified layer becomes thicker as DC voltage increases. Increase in total film thickness indicates polymer deposition. Thus, modified layer should consist of polymer and actual resist modification. Applied DC voltage Voltage [V] (V) 13

Verification of e-beam Effect Suspended electron ratio (%) Calculated suspended electron ratio in the bulk resist with various accelerated voltage 停止した電子の割合 (%) 60 50 40 30 20 10 0 500V 1000V 1500V Energy(keV) 0.5keV 1keV 1.5keV 2keV 0 50 100 150 200 250 電子が停止した深さ (nm) Depth of suspended electron (nm) Modified layer thickness of the experiment (nm) Correlation between modified layer thickness and the e - suspended depth in the bulk resist. 200 150 100 50 0 y = 1.0434 x - 1.4180 R 2 = 0.9998 0 50 100 150 200 e - suspended depth in the bulk resist calculated by MC simulation (nm) The actual modified layer, subtracting polymer deposition thickness, agreed with the Monte-Carlo simulation results. 14

Ballistic Electron Effect 2 HAR Dielectric For DRAM hp 3x and beyond, high aspect ratio etching > 40:1 is required. HAR etching without distortion and twisting is the most difficult challenge. Ref: M.Wang, M.Kushner et al. 55 th AVS (2008) secondary electron e - + + - + - - - + - - - + - - - - - - - - + ++ + + + ESC e - + DC RF Ballistic electrons contribute to neutralizing positively charged dielectric surface, and prevent etch profile from twisting. 15

Motivation of RF Pulsing for DC Superimposed CCP V bias = 0 V V bias = 1000 V The population of ballistic electron onto wafer decreases with high bias power. 16

Potential Solutions towards Plasma Induced Material Damage Self-limiting Chemical Dry Etch TM

Performance of Self-limiting Etch 25.0 Etching (nm) 20.0 15.0 10.0 5.0 0.0 20mT 10mT 0.0 1.0 2.0 3.0 4.0 5.0 6.0 Etching time (min) Blanket Th-Ox Process recipe can control the maximum etch amount. 18

Summary Control Parameters of CCP Basic Components of Dielectric Etch Chamber source frequency, bias frequency, dual bias Physical Effects of DC Superposition and Etch Applications resist hardening, high aspect ratio dielectric etch, BEOL dielectric etch with metal hard mask DC Superimposed CCP with RF Pulsing enhancement of dumping current Potential Solutions towards Plasma Induced Material Damage Self-limiting Chemical Dry Etch no silicon crystal damage with precise etch amount control 19