Testing Digital Systems II

Similar documents
VLSI testing Introduction

Design for Testability & Design for Debug

EECS 427 Lecture 21: Design for Test (DFT) Reminders

Chapter 1 Introduction to VLSI Testing

Test Automation - Automatic Test Generation Technology and Its Applications

Testing Digital Systems II. Problem: Fault Diagnosis

VLSI Design Verification and Test Delay Faults II CMPE 646

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Testing Digital Systems I

EECS 579 Fall What is Testing?

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Exploring the Basics of AC Scan

Fault Diagnosis in Combinational Logic Circuits: A Survey

I DDQ Current Testing

A Novel Low-Power Scan Design Technique Using Supply Gating

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Design a pattern generator with low switching activity to test complex combinational logic with high test coverage

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

EC 1354-Principles of VLSI Design

Evaluating the Digital Fault Coverage for a Mixed-Signal Built-In Self-Test. Michael Alexander Lusco

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Pulse propagation for the detection of small delay defects

A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3

ELEC Digital Logic Circuits Fall 2015 Delay and Power

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow

UNIT-III POWER ESTIMATION AND ANALYSIS

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Policy-Based RTL Design

Generation of Digital System Test Patterns Based on VHDL Simulations

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

Path Delay Test Compaction with Process Variation Tolerance

ASICs Concept to Product

VLSI System Testing. Outline

Improved DFT for Testing Power Switches

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science

Course Outline Cover Page

Chapter 2 Combinational Circuits

Computer Aided Design of Electronics

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

Ensuring a High Quality Digital Device through Design for Testability

Oscillation Test Methodology for Built-In Analog Circuits

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

GRAPHIC ERA UNIVERSITY DEHRADUN

Testing Digital Circuits for Timing Failures by Output Waveform Analysis. Piero Franc0

The challenges of low power design Karen Yorav

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

Design of BIST using Self-Checking Circuits for Multipliers

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

Datorstödd Elektronikkonstruktion

Lecture 9: Clocking for High Performance Processors

Chapter 3 Digital Logic Structures

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Gates and Circuits 1

INF3430 Clock and Synchronization

Test et Consommation des Circuits Numériques : Problématique et Solutions

On-Chip Automatic Analog Functional Testing and Measurements

February IEEE, VI:20{32, 1985.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

CMOS Test and Evaluation

Iddq Testing for CMOS VLSI

FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS. A Dissertation WANGQI QIU

Testability Synthesis for Jumping Carry Adders

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

UNEXPECTED through-silicon-via (TSV) defects may occur

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

QUIZ. What do these bits represent?

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form:

Interconnect testing of FPGA

Reducing ATE Test Time by Voltage and Frequency Scaling. Praveen Venkataramani

Lecture 1. Tinoosh Mohsenin

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

ECE/CoE 0132: FETs and Gates

EC O4 403 DIGITAL ELECTRONICS

On Built-In Self-Test for Adders

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

VLSI Design I; A. Milenkovic 1

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

Transcription:

Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture

Logistics Instructor: Mehdi Tahoori Office: Room B2-33., Building 07.2 Email: mehdi.tahoori@kit.edu Tel: 72-608-47778, Fax: 72-608-43962 Lecture: When: Tuesdays :30-3:00 Where: Room HS -0, Building 50.34 Copyright 206, M. Tahoori TDS II: Lecture 3 Logistics (cont) Requirements Logic Design Computer Architecture Testing Digital Systems I Background on Algorithms and Programming Hardware description languages (VHDL or Verilog) Copyright 206, M. Tahoori TDS II: Lecture 4 Lecture 2

Textbook Reference Books Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits by M. L. Bushnell and V.D. Agrawal, Kluwer Academic Press, Boston 2000 Recommended System On Chip Test Architectures: Nanometer Design for Testability by L.T. Wang, C.E. Stroud, N. A. Touba, Elsevier, Morgan Kaufmann Publishers, 2009. Digital System Testing and Testable Design by M. Abramovici, M. A. Breuer, and A.D. Friedman, IEEE Press, New York, 990, 652 pages Copyright 206, M. Tahoori TDS II: Lecture 5 Course Outline Basics Design for Testability (DFT) Built-in Self-test (BIST) More topics on test generation and DFT I try to be flexible. The order and contents may be changed as we proceed. Copyright 206, M. Tahoori TDS II: Lecture 6 Lecture 3

Outline: Basics Review of TDS I Digital design, test, and verification flow Failures and errors Fault models Test pattern generation Combinational APTG Sequential ATPG Copyright 206, M. Tahoori TDS II: Lecture 7 Outline: Design For Testability (DFT) Ad-Hoc DFT techniques Internal scan design Boundary scan Copyright 206, M. Tahoori TDS II: Lecture 8 Lecture 4

Outline: BIST Test Pattern Generation Output Response Analysis BIST Architectures Copyright 206, M. Tahoori TDS II: Lecture 9 Contents: More topics Fault list reduction and test compaction Delay testing Logic Diagnosis Memory testing Test Compression Other interesting topics if time permits Copyright 206, M. Tahoori TDS II: Lecture 0 Lecture 5

Overview of TDS I Copyright 206, M. Tahoori TDS II: Lecture VLSI Realization Process Customer s need Determine requirements specifications Design synthesis and Verification Test development Fabrication Manufacturing test Chips to customer Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture 6

Definitions Design synthesis: Given an Input-Output function, develop a procedure to manufacture a device using known materials and processes Verification: Predictive analysis to ensure that the synthesized design, when manufactured, will perform the given Input-Output function Copyright 206, M. Tahoori TDS II: Lecture 3 Testing The process of determining whether a piece of device Is functioning correctly, or Is defective (broken or faulty) Equipment can be defective because it doesn't function as designed, or as specified Copyright 206, M. Tahoori TDS II: Lecture 4 Lecture 7

Testing Process Components, Raw Materials Manufacturing Process Yield Fraction of good parts 20%-90% Testing Shipped Parts Quality: DPM Defective Parts per Million 50-500 DPM Copyright 206, M. Tahoori TDS II: Lecture 5 Testing as Filter Process Good chips Prob(good) = y Prob(pass test) = high Mostly good chips Fabricated chips Defective chips Prob(bad) = - y Prob(fail test) = high Mostly bad chips Copyright 206, M. Tahoori TDS II: Lecture 6 Lecture 8

Costs of Testing Design for testability (DFT) Chip area overhead Yield reduction Performance overhead Software processes of test Test generation Fault simulation Test programming and debugging Manufacturing test Automatic test equipment (ATE) capital cost Test center operational cost Copyright 206, M. Tahoori TDS II: Lecture 7 Roles of Testing Detection: Determination whether or not the device under test (DUT) has some fault. Diagnosis: Identification of a specific fault that is present on DUT. Device characterization: Determination and correction of errors in design and/or test procedure. Failure mode analysis (FMA): Determination of manufacturing process errors that may have caused defects on the DUT. Copyright 206, M. Tahoori TDS II: Lecture 8 Lecture 9

Testing Principle Performed by Automatic Test Equipment (ATE) On-chip Built-in Self Test (BIST) Copyright 206, M. Tahoori TDS II: Lecture 9 Testing Taxonomy Testing Design Verification Explicit Testing Implicit Testing Or On-line Testing Characterization Production Test Reliability Test Qualification Diagnosis Wafer Sort Package Test IDDQ VLV Burn-in Min Vdd SHOVE System Test Gross Test DC parametric Test AC parametric Test Boolean Test Quasi-Boolean (Delay) Test Copyright 206, M. Tahoori TDS II: Lecture 20 Lecture 0

Types of Test Production Test Wafer Sort or Probe Final or Package Test Acceptance Test Sample Test Go / No Go Test Characterization Stress Screening Reliability Test (Accelerated Life Test) Diagnostic Test Quality Test Tests to sort out defective manufactured parts Test of each die while still on the wafer Testofpackagedchipsandseparationintoclassesorbins(military, commercial, industrial) A test to demonstrate the degree of compliance of a device with purchaser s requirements Test of some but not all parts Test to determine whether device meets specification Test to determine actual values of device AC and DC parameters and the interaction of parameters. Used to set final specifications and to identify areas to improve process to increase yield. Test with stress (high temperature, temperature cycling, voltage, vibration, etc.) applied to eliminate short life parts Test after subjecting the part to extended high temperature or voltage to estimate time to failure in normal operation Test to locate failure site on failed part Test by quality assurance department of a sample of each lot of manufactured parts. More stringent than final test. On-line Test* System Test Design Verification On-line testing to detect errors that occur during normal system operation. Test by plugging a device into an actual system and running the system. Verifying the correctness of a design Copyright 206, M. Tahoori TDS II: Lecture 2 Test Flow Copyright 206, M. Tahoori TDS II: Lecture 22 Lecture

Failures, Errors, Faults Chip is Defective if it Doesn't Function as Specified, or as Designed due to Presence of a Failure Error Incorrect Signal Value Failure Deviation from Designed Characteristics Fault Models Effect of Failure on Logical Signals Copyright 206, M. Tahoori TDS II: Lecture 23 Open Failure Mechanism: Particle on Failure Mode: Open Metal A A A A Electrical Fault: Open Signal Logical Fault Model: stuck-at- Copyright 206, M. Tahoori TDS II: Lecture 24 Lecture 2

Short Failure Mechanism: Particle on Failure Mode: Shorted Metal A A A A B B B B Electrical Fault Logical Fault Copyright 206, M. Tahoori TDS II: Lecture 25 Fault Model Fault model Models effect of physical failure on logic network Abstraction of physical situation Used to describe the change in the logic function of a device caused by the defect. Various levels of abstraction are used Functional (Board, Chip) level Register transfer (Behavioral) level Logic level Gate library level Elementary gate level Switch level Transistor (Spice) level Copyright 206, M. Tahoori TDS II: Lecture 26 Lecture 3

Fault Model Taxonomy Fault Models for Logic Circuits High level or Functional level or RT-level Boolean Logic Network level Transistor level Stuck-on, Stuckopen Crosscheck Gate-to-source or Gate-to-drain shorts Stuck-at Bridging Transition Gate Delay Path delay Copyright 206, M. Tahoori TDS II: Lecture 27 Stuck Fault Models Structural logic-level fault model Start with the circuit represented as a netlist of Boolean gates Assumes faults only affect the interconnection between gates Single Stuck Fault Logic network of elementary gates AND, OR, NAND, NOR, NOT One Line has Fixed 0 or Value Independent of other signal values One fanout branch can be stuck Most common model for Boolean test Written L i / h, h = 0 or Multiple Stuck Fault One or More Stuck Line Faults Present Pin Fault Stuck Fault on I/O Connection of a Module Copyright 206, M. Tahoori TDS II: Lecture 28 Lecture 4

Stuck-at Fault Model VDD VDD A Gnd P N A Gnd P N Short to (a) VDD Open signal (b) lead A A Notation: A/ or A Copyright 206, M. Tahoori TDS II: Lecture 29 Fault Detection An input combination detects a fault in a logic network if the response of the faulty logic network to that input combination is different from that of the fault-free network The input combination is called a test pattern for the fault Fault detection requires: A test t activates or provokes the fault f. t propagates the error to an observation point e.g. primary output A line whose value changes with f present is said to be sensitized to the fault site. Copyright 206, M. Tahoori TDS II: Lecture 30 Lecture 5

4 faults Single Stuck-at 2 faults (SA0, SA) per each line ABCD = 00 detects F/ Faulty and fault-free outputs different ABCD = 0 does NOT detect F/ Faulty and fault-free outputs are the same A B 0 C 0 D & E 0() + F SA & 0() Z Copyright 206, M. Tahoori TDS II: Lecture 3 Untestable Fault A fault that does not affect the logical behavior of a circuit (redundant fault) Untestable by Particular Test Procedure Causes Redundant Circuitry Design Error Hazard Control Circuitry Error Detection Circuitry Parity Check Excess Components Needed for Performance, not Functionality Copyright 206, M. Tahoori TDS II: Lecture 32 Lecture 6

Bridging Fault Bridging faults appear when two or more normally distinct signal lines in a Boolean logic network are unintentionally shorted together and create wired logic. A feedback bridging fault is a special type of bridging fault which is created when one of the two shorted signal lines depends on the other signal line in the fault-free circuit. May cause oscillation or latch If a fanout branch of a signal line is involved in a bridge Logic value on the fanout stem and the other fanout branches of that signallinewillbethesameasthelogicvalueonthefanoutbranch which is involved in the bridge Copyright 206, M. Tahoori TDS II: Lecture 33 Bridging Fault X A Y B Logic-level model VDD X VDD P A N VDD Gnd P2 Y N2 B Gnd Transistor-level model R P A/B R N2 Electrical model when X = 0 and Y = Gnd Copyright 206, M. Tahoori TDS II: Lecture 34 Lecture 7

Timing Failures Logic Network has a Timing Failure if and only if it fails to operate correctly at its specified speed BUT may produce correct outputs when operated at either a slower or faster speed Compared to Stuck-at or bridging fault models Static faults Incorrect values at any speed Copyright 206, M. Tahoori TDS II: Lecture 35 Path Delay Fault Path delay fault present propagation delay of at least one path from primary input to primary output exceeds clock interval Models multiple or distributed defects Issue: can path be sensitized, occur in operation? Each path delay fault associated with a particular path between primary input and output either all paths all sensitizible paths longest paths static timing analyzer Copyright 206, M. Tahoori TDS II: Lecture 36 Lecture 8

Definition Transition Fault A localized timing failure is large enough such that the delay of all paths through some gate to observable outputs exceed the clock interval Each transition fault associated with a particular gate input or gate output either a 0 to transition or a to 0 transition (two transition faults) slow-to-rise, slow-to-fall propagated to some primary output Copyright 206, M. Tahoori TDS II: Lecture 37 Transition Fault two input combinations are needed initialization pattern places an initial value at the fault site. The initial value is 0 for a slow-to-rise transition fault, and for a slow-to-fall transition fault. transition propagation pattern places the final transition value for a slow-to-rise transition fault, and 0 for a slow-to-fall transition fault propagates the transition to an observable output Copyright 206, M. Tahoori TDS II: Lecture 38 Lecture 9

Fault Simulation Fault Simulator A program that models a design with fault present Inputs: A circuit A sequence of test vectors A fault model Usually single-stuck faults Sometimes multiple-stuck, bridging faults,... Determines Fault coverage (fault grading) Set of undetected faults (Areas of Low Fault Coverage) Generates fault dictionary (Fault diagnosis) Test compaction Copyright 206, M. Tahoori TDS II: Lecture 39 Fault simulator in VLSI Design Verified design netlist Verification input stimuli Fault simulator Test vectors Modeled fault list Remove tested faults Test compactor Delete vectors Fault Low coverage? Adequate Stop Test generator Add vectors Copyright 206, M. Tahoori TDS II: Lecture 40 Lecture 20

Specific-Fault Oriented Test Generation Two fundamental test generation steps ACTIVATE, Excite, Provoke or Setup the Fault Make Fault OBSERVABLE, Fault Sensitization Find Primary Input Values that Cause Error Signal in Faulty Circuit For Single-Stuck-at-v Fault Place v' at Fault Site PROPAGATE the Resulting Error to a Primary Output Path Sensitization Find Primary Input Values that Sensitize Error Signal to Primary Output Copyright 206, M. Tahoori TDS II: Lecture 4 Specific-Fault Oriented Test Generation Example: Test for c/0 is w,x,y = 0,, ACTIVATE Fault c/0 Set x = y = to make c= in Fault-free Circuit PROPAGATE Value on c to f x y w & c + f Set w =0 to sensitize c to f Copyright 206, M. Tahoori TDS II: Lecture 42 Lecture 2

Test Generation Using Path Tracing Notation D Signal Value in Fault-free Circuit, 0 in Faulty Circuit D' or D Signal Value 0 in Fault-free Circuit, in Faulty Circuit X Signal Value is Unspecified Truth table for AND Copyright 206, M. Tahoori TDS II: Lecture 43 Path Sensitization Method Fault Sensitization Force tested node to opposite of fault value Fault Propagation (path sensitization) Propagate the effect to one or more POs Line Justification Justify internal signal assignments made to activate and sensitize fault These three steps may result in conflict Different values are assigned to the same signal Require backtracking Copyright 206, M. Tahoori TDS II: Lecture 44 Lecture 22

Path Sensitization Method Try path f h k L Requires A =, j = 0, E = Blocked at j Since there is no way to justify on i D D D 0 D D Copyright 206, M. Tahoori TDS II: Lecture 45 Path Sensitization Method Try simultaneous paths f h k L and g i j k L Blocked at k because D-frontier (chain of D or D) disappears D D D D D Copyright 206, M. Tahoori TDS II: Lecture 46 Lecture 23

Path Sensitization Method Final try: path g i j k L test found! 0 0 D D D D D Copyright 206, M. Tahoori TDS II: Lecture 47 Specific-Fault Oriented Test Generation Three Approaches D Algorithm: Internal Line Values Assigned (Roth-966) D-cubes Bridging faults Logic gate function change faults PODEM: Input Values Assigned (Goel 98) X-Path-Check Backtracing FAN: Input and Internal Values Assigned (983) Copyright 206, M. Tahoori TDS II: Lecture 48 Lecture 24

Approach Sequential ATPG Convert Finite State Machine to Corresponding Iterative Network Multiple Time Frames (Iterative Cells) Needed for Justification and Propagation One Fault in Sequential Circuit Issues Many Faults in Corresponding Iterative Network Use 9-valued signals Order of Justification and Propagation Simulation Values Test Point Insertion ( Partial Scan) Copyright 206, M. Tahoori TDS II: Lecture 49 General Case Huffman model of sequential circuit with edge-triggered D-flip-flops y x Combinational Logic D Q C Any sequential circuit with edge-triggered D-FF can be directly converted into an iterative network x0 x xr Z CK y0 y yr Combinational Logic Combinational Logic Combinational Logic Z0 Z Zr Copyright 206, M. Tahoori TDS II: Lecture 50 Lecture 25

Iterative Logic Array Expansion To detect a fault, a sequence of vectors may be needed Copyright 206, M. Tahoori TDS II: Lecture 5 Example Test for P SA0 Provoke Fault on P: a = 0, b = Propagate Fault to S2: C0 = Need to consider last time frame: : a0 =, b0 =, Cin = X a2 = 0, b2 = 0 a XOR S b & P + & C + D Q C CK a0 b0 a b a2 b2 Cin XOR S0 & + & & P0 + P + P2 + + & & & C0 C XOR XOR S S2 + C2 Copyright 206, M. Tahoori TDS II: Lecture 52 Lecture 26