Word length Optimization for Fir Filter Coefficient in Electrocardiogram Filtering

Similar documents
IMPLEMENTATION OF DIGITAL FILTER ON FPGA FOR ECG SIGNAL PROCESSING

Comparison of Different Techniques to Design an Efficient FIR Digital Filter

Tirupur, Tamilnadu, India 1 2

Aparna Tiwari, Vandana Thakre, Karuna Markam Deptt. Of ECE,M.I.T.S. Gwalior, M.P, India

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter

Performance Analysis of FIR Filter Design Using Reconfigurable Mac Unit

Design and Performance Analysis of 64 bit Multiplier using Carry Save Adder and its DSP Application using Cadence

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier

EMBEDDED DOPPLER ULTRASOUND SIGNAL PROCESSING USING FIELD PROGRAMMABLE GATE ARRAYS

Design and Implementation of Efficient FIR Filter Structures using Xilinx System Generator

A Distributed Arithmetic (DA) Based Digital FIR Filter Realization

Implementation and Comparison of Low Pass FIR Filter on FPGA Using Different Techniques

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method

FPGA based Asynchronous FIR Filter Design for ECG Signal Processing

Design IIR Filter using MATLAB

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters

Design and Performance Analysis of a Reconfigurable Fir Filter

A Survey on Power Reduction Techniques in FIR Filter

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

VLSI Implementation of Separating Fetal ECG Using Adaptive Line Enhancer

On the design and efficient implementation of the Farrow structure. Citation Ieee Signal Processing Letters, 2003, v. 10 n. 7, p.

Optimized FIR filter design using Truncated Multiplier Technique

DA based Efficient Parallel Digital FIR Filter Implementation for DDC and ERT Applications

CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

Advanced Digital Signal Processing Part 5: Digital Filters

FPGA based Implementation of MAC in Parallel Filter

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

SCUBA-2. Low Pass Filtering

International Journal of Advance Engineering and Research Development

ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet

An area optimized FIR Digital filter using DA Algorithm based on FPGA

ISSN: X International Journal of Advanced Research in Electronics and Communication Engineering (IJARECE) Volume 7, Issue 5, May 2018

FPGA Implementation of Desensitized Half Band Filters

IN SEVERAL wireless hand-held systems, the finite-impulse

Area Efficient and Low Power Reconfiurable Fir Filter

Design and Implementation of Digital Butterworth IIR filter using Xilinx System Generator for noise reduction in ECG Signal

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

DESIGN & FPGA IMPLEMENTATION OF RECONFIGURABLE FIR FILTER ARCHITECTURE FOR DSP APPLICATIONS

EE 470 Signals and Systems

Designing Filters Using the NI LabVIEW Digital Filter Design Toolkit

An Overview of the Decimation process and its VLSI implementation

FPGA Implementation of High Speed FIR Filters and less power consumption structure

Digital Filtering: Realization

Performance Analysis of FIR Digital Filter Design Technique and Implementation

International Journal of Advanced Research in Computer Science and Software Engineering

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters

Quantized Coefficient F.I.R. Filter for the Design of Filter Bank

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder

A Lower Transition Width FIR Filter & its Noise Removal Performance on an ECG Signal

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

Resource Efficient Reconfigurable Processor for DSP Applications

Design of FIR Filter Using Modified Montgomery Multiplier with Pipelining Technique

Design and Analysis of RNS Based FIR Filter Using Verilog Language

International Journal of Digital Application & Contemporary research Website: (Volume 2, Issue 6, January 2014)

Digital Signal Processing

AN EFFICIENT MAC DESIGN IN DIGITAL FILTERS

SGN-2156 SYSTEM LEVEL DSP ALGORITHMS. Lectures: Tapio Saramäki, Exercises: Muhammad Ahsan,

A Hardware Efficient FIR Filter for Wireless Sensor Networks

Suppression of Noise in ECG Signal Using Low pass IIR Filters

FIR System Specification

VLSI Implementation of Digital Down Converter (DDC)

DSP Laboratory (EELE 4110) Lab#10 Finite Impulse Response (FIR) Filters

Design and Evaluation of Stochastic FIR Filters

Designing and Implementation of Digital Filter for Power line Interference Suppression

Design and Implementation of High Speed Carry Select Adder

Noise Reduction Technique for ECG Signals Using Adaptive Filters

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

DESIGN OF AREA EFFICIENT TRUNCATED MULTIPLIER FOR DIGITAL SIGNAL PROCESSING APPLICATIONS

Design and Simulation of Two Channel QMF Filter Bank using Equiripple Technique.

Lecture 3 Review of Signals and Systems: Part 2. EE4900/EE6720 Digital Communications

A Comparative Performance Analysis of High Pass Filter Using Bartlett Hanning And Blackman Harris Windows

An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder

Narrow-Band and Wide-Band Frequency Masking FIR Filters with Short Delay

REALIAZATION OF LOW POWER VLSI ARCHITECTURE FOR RECONFIGURABLE FIR FILTER USING DYNAMIC SWITCHING ACITIVITY OF MULTIPLIERS

Implementation of Decimation Filter for Hearing Aid Application

Design and Implementation of Digital Chebyshev Type II Filter using XSG for Noise Reduction in ECG Signal

International Journal of Modern Trends in Engineering and Research

FPGA Based Notch Filter to Remove PLI Noise from ECG

FPGA BASED IMPLEMENTATION OF A MULTIPLIER-LESS FIR FILTER FOR ECG SIGNAL PROCESSING

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet

ACHIEVING AREA EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURES FOR SYMMETRIC CONVOLUTIONS USING VLSI IMPLEMENTATION

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS

FINITE IMPULSE RESPONSE (FIR) FILTER

Design and Implementation of High Speed Area Efficient Carry Select Adder Using Spanning Tree Adder Technique

Lab S-9: Interference Removal from Electro-Cardiogram (ECG) Signals

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing

Team proposals are due tomorrow at 6PM Homework 4 is due next thur. Proposal presentations are next mon in 1311EECS.

Keywords FIR lowpass filter, transition bandwidth, sampling frequency, window length, filter order, and stopband attenuation.

An Efficient Design of Parallel Pipelined FFT Architecture

Design and FPGA Implementation of High-speed Parallel FIR Filters

Frequency-Response Masking FIR Filters

THIS brief addresses the problem of hardware synthesis

VLSI Implementation of Reconfigurable Low Power Fir Filter Architecture

An Efficient and Flexible Structure for Decimation and Sample Rate Adaptation in Software Radio Receivers

Transcription:

Word length Optimization for Fir Filter Coefficient in Electrocardiogram Filtering Vaibhav M Dikhole #1 Dept Of E&Tc Ssgmcoe Shegaon, India (Ms) Gopal S Gawande #2 Dept Of E&Tc Ssgmcoe Shegaon, India (Ms) Dr. K B Khanchandani Dept Of E&Tc Ssgmcoe Shegaon, India (Ms) #3 Abstract In very large scale integration (VLSI) implementation of Digital Signal Processing (DSP) applications, digital filter coefficients play an important role. Therefore word length optimization of filter coefficient is desirable to minimize cost and power requirement. In this paper a brief study of effects of Q16.14 and Q8.7 word lengths format on ECG signal is discussed. Keywords: Data format, coefficient word-length, FIR filter, Electrocardiogram (ECG), FDA tool. The response of such a filter to an impulse consists of a finite sequence of M+ 1 sample, where M is the filter order. Hence, the filter is known as a Finite-Duration Impulse Response (FIR) filter. The output y(m) of a FIR filter is a function of the input signal x(m). (1) I. INTRODUCTION In electronic technology, the development of VLSI-DSP application are taking place rapidly. The VLSI implementation of Digital signal processing (DSP) applications demands high speed and low power digital filters. Hence to meet these requirements, the order of the digital filter must be as small as possible and the number of bits required to represent filter coefficients should be minimum. DSP applications are usually implemented in embedded systems with fixed-point arithmetic to minimize cost and power consumption. The fixed point implementation requires the word-length (WL) optimization of functional units to prevent overflow and excessive quantization noise, while minimizing hardware cost and power consumption [8]. In section II, the FIR digital filter is described through convolution sum and same approach is used for filtering of ECG signal. In section III, details ECG signal are described. In section IV, Q16.14 and Q8.7 data format with frequency response is described. In section V, the implementation of various approach provided in [8] are shown. Section VI shows the simulink models of these approaches. Section VII shows stimulation results. II. DIGITAL FILTER A digital filter is a system that performs mathematical operations on a sampled, discrete-time signal to reduce or enhance certain aspects of that signal. There are two types of digital filter i.e. Finite Impulse Response (FIR) and Infinite Impulse Response (IIR). In general, an FIR filter is described by the convolution sum given by equation (1). Figure 1: The realization of M-tap FIR filter The realization of equation (1) for M-tap FIR filter is as shown in the figure 1.FIR filter can be design by various methods such as Least-square, Window, Equiripple, etc. In this paper, the frequency response and filter order for various design methods are calculated using FDATool of matlab and finally it has been decided to use Equiripple FIR filter as it gives minimum order with acceptable frequency response.[7] The Equiripple FIR design uses the Remez/Parks McLellan algorithm to design a linear phase FIR filter. Remez/Parks McLellan designs have equal ripples in both Passband and stopband as per specifications. For removing noise from a noisy ECG signal, an FIR low Pass Equiripple filter is designed as per the specifications given in Table 1. [6] 1942

Table1: FIR filter specifications Filter Parameter Value Pass band 50Hz frequency Pass band 1dB attenuation Stop band 30dB attenuation abbreviations for any actual words but were chosen many years ago for their position in the middle of the alphabet. The electrical activity results in P, QRS, and T waves that are of different sizes and shapes. When viewed from different leads, these waves can show a wide range of abnormalities of both the electrical conduction system and the muscle tissue of the hearts 4 pumping chambers [3]. Table2: ECG signal details Stop frequency band 350Hz Sampling frequency 800Hz The frequency response and coefficients for specification shown in table1 is as shown in figure 2 and figure3 respectively. Here the coefficient are unquantized and are in Double precision floating point format. Figure 2: Frequency response for Double precision floating point format. Figure 4: Standard ECG Signal IV. DATA FORMAT Figure 3: Coefficients for Double precision floating point format. A. Quantization to Q16.15 format: Using the FDA Tool, the designed filter is quantized to a Q16.14 fixed point numeric representation format. In Q16.14 format the total wordlength is of 16 bits, out of which the most significant bit (MSB) is used to represent the sign of the number and 14 bits are used to represent fractional wordlength of coefficient. The frequency response for Q16.14 format is as shown in figure 4 and quantized coefficients are shown in figure 5. III. ECG SIGNAL DETAILS The ECG records the electrical activity that results when the heart muscle cells in the atria and ventricles contract atrial contractions show up as the P wave. Ventricular contractions show as a series known as the QRS complex. The third and last common wave in an ECG is the T wave. This is the electrical activity produced when the ventricles are recharging for the next contraction (repolarizing). Interestingly, the letters P, Q, R, S, and T are not Figure 4: Frequency response for Q16.14 format. 1943

by adders are also grouped On the other hand; multipliers or quantizers break the signal grouping. Since the output WL of multiplication is the sum of two input WLs, quantization is definitely needed in most systems, especially when the output is used for the multiplications. There are a few approaches regarding the WL decrease or quantization of multiplied signals [8]. Figure 5: Coefficients for Q16.14 format. B. Quantization to Q8.7 format: We can see the effect between the reference frequency response and quantized frequency response in figure 6 and figure 7 show the reference and quantized coefficients with Q8.7 representation format. Here, the total word length is 8 bits and the number of bits available for representing the fractional magnitude is 7 [6][7]. Figure 8: Realization of first approach The first approach is implemented by conducting quantization before multiplication. The realization of first approach is as shown in figure 8 and algorithm 1 show the implementation. Algorithm 1(multiplier input quantization) Figure 6: Frequency response for Q8.7 format. u ECG v coefficients M length (u) N length (v) inputs to convolution sum calculating number of samples in u and v k m+n-1 convolution loop Figure 7: Coefficients for Q8.7 format. u zeros(1,k-m) samples v zeros(1,k-v) zero padding to make equal in both u and v V. IMPLEMENTATION OF ALGORITHM FOR FILTER COEFFICIENT WORDLENGTH OPTIMIZATION The optimization time can be reduced by reducing the number of variable in an implementation and this can be done by grouping the signal. The signals can be grouped based on two ideas; one is using the signal flow graph analysis and the other is based on the hardware sharing information. The signal grouping based on the graph analysis is used for determining the minimum WL and the grouping based on hardware sharing is used for final WL determination. The signals that are connected by delays or multiplexers are grouped. In addition, the signals connected for k 1 =1:k w(k 1 )=0 initialization for j=1:k 1 mul=qm.n (u)*qm.n(v) quantization of inputs new w(k 1 )=previous w(k 1 )+mul 1944

Figure 9: Realization of second approach The second approach is implemented by conducting quantization after multiplication. The realization of second approach is as shown in figure 9 and algorithm 2 shows the implementation. Algorithm 2(multiplier output quantization) Figure 10: Realization of third approach The third approach specified in [8] is the combination of two models. The quantizers is used at the multiplier outputs as well as at the output of a final adder as shown in figure 10 and algorithm for the same is given in algorithm 3. This method ints to optimize both adder and multiplier WLs. u ECG inputs to convolution sum Algorithm 3(multiplier input-output quantization) v coefficients u ECG v coefficients inputs to convolution sum M length (u) calculating number of N length (v) samples in u and v k m+n-1 convolution loop u zeros(1,k-m) zero padding to make equal samples v zeros(1,k-v) in both u and v M length(u) N length(v) k m+n-1 u zeros(1,k-m) samples calculating number of samples in u and v convolution loop zero padding to make equal v zeros(1,k-v) in both u and v for k 1 =1:k w(k 1 )=0 initialization for k 1 =1:k for j=1:k 1 a= (u)* (v) mul=qm.n (a) quantization of multiplication new w(k 1 )=previous w(k 1 )+mul w(k 1 )=0 initialization for j=1:k 1 a= Qm.n (u)*qm.n(v) quantization of inputs mul=qm.n(a) quantization of multiplication new w(k 1 )=previous w(k 1 )+mul 1945

VI. SIMULINK MODEL FOR PROPOSED ALGORITHM All three algorithm are implement in simulink, the coefficient are quantized by using fixed point converter block as shown in figure 11,12 and 13. i. Model for algorithm 1: In first algorithm, as mention the wordlength of coefficient is fixed to Qm.n format. The ECG signal is applied to model by loading the signal in workspace of matlab. Figure 11 show the simulink model for algorithm 1 in which wordlength of coefficient is quantized at the input of multiplier. VII. STIMULATION RESULTS The models proposed in [8] are with implented with Q16.14 and Q8,7 data format. The results so obtain after optimization of wordlength are campare with the unoptimized wordlength results. 1) Unoptimized wordlength: In this,the wordl-length is kept unquantized and result so obtain is as show below. Figure 14: Unfiltered ECG Figure 14 show the unfiltered ECG signal and figure 15 show the frequency response for unfiltered ECG signal. Figure 11: Model for algorithm 1 ii. Model for algorithm 2: In second model as shown in figure 12, the quantization is done at the output of the multiplication. Figure 15: Frequency Response for Unfiltered ECG Figure 12: Model for algorithm 2 iii. Model for algorithm 3: In third model the quantization is provided at input and the output of multiplier as well as the output of adder is also fixed to Qm.n format Figure 16: Filtered ECG Figure 16 show the filtered ECG signal and figure 17 show the frequency response for unfiltered ECG signal. Figure 17: Frequency Response of Filtered ECG 2) Optimized to Q16.14 fromat: Figure 13: Model for algorithm 3 a.for Model 1: Figure 18(a) show the filtered ECG signal after application of Q16.14 format before the multiplication block. The frequency response for unfiltered and filtered ECG signal is as shown in figure18(b) and figure18(c) respectively. 1946

Figure 18(a): ECG after application of algorithm 1 Figure 20(a): ECG after application of algorithm 3 Figure 18(b): Frequency Response for filtered ECG Figure 20(b): Frequency Response for filtered ECG 3) Optimized to Q8.7 fromat: a. For Model 1: Figure 21(a) show the filtered ECG signal after application of Q8.7 format before the multiplication block. The frequency response for filtered ECG signal in Q8.7 format is as shown in figure 20(b). Figure 18(c): Frequency Response for filtered ECG b. For Model 2: Figure 19 show the filtered ECG signal after application of Q16.14 format after the multiplication block. The frequency response for filtered ECG signal is as shown in figure19 (b). Figure 21(a): ECG after application of algorithm 1 Figure 19(a): ECG after application of algorithm 2 Figure 21(b): Frequency Response for filtered ECG Figure 19(b): Frequency Response for filtered ECG b.for Model 2: Figure 22(a) show the filtered ECG signal after application of Q8.7 format after the multiplication block. The frequency response for filtered ECG signal in Q8.7 format is as shown in figure 22(b). c. For Model 3: Figure 20(a) show the filtered ECG signal after application of Q16.14 format before and after the multiplication block. The frequency response for filtered ECG signal is as shown in figure 20(b). Figure 22(a): ECG after application of algorithm 2 1947

Figure 22(b): Frequency Response for filtered ECG c.for Model 3: Figure 23(a) show the filtered ECG signal after application of Q8.7 format before and after the multiplication block. The frequency response for filtered ECG signal in Q8.7 format is as shown in figure 23(b). Figure 23(a): ECG after application of algorithm 3 Figure 23(b): Frequency Response for filtered ECG CONCLUSION: Digital filter used for different application require different wordlength. This paper discusses the effect of Q16.14 and Q8.7 data format on FIR filter coefficients word-lengths used for ECG signal filtering. In this paper the effect of conducting quantization at different level of designs are shown. As per the methods proposed in [8] the quantization is conducted at the input and output of multiplications and additions. From stimulation results we can see that for Q16.14 data format the results are similar to unoptimized coefficient results. Whereas of Q8.7 there are some changes in the amplitude level of ECG signal. The frequency response for the Q8.7 format is somewhat flatten as compare to the frequency response for Q16.14 format. But the response for the Q16.14 format is nearly similar to the unoptimized word-length results REFERENCES: 1) U.Meyer Baese, Digital signal processing with FPGA, Springer Publications. 2) NATIONAL INSTRUMENTS: Publish Date: Aug 16, 2012(http://ni.com/legal/termsofuse/unitedstates/us/). 3) Keshab K. Parhi, Fellow, IEEE Approaches to Low-Power Implementations of DSP Systems IEEE Transactions On Circuits And Systems I: Fundamental Theory And Applications, Vol. 48, No. 10, October 2001 4) Design and Implementation of Custom Low Power DSP blocks for Biomedical Applications Dr. K.B.Khanchandani:Published in International Journal of Advanced Engineering & Application, Jan 2011 Issued 5) Prof. Gopal S. Gawande, Dr.K.B.Khanchandani, T.P.Marode, Performance Analysis Of Fir Digital Filter Design Techniques, published in International Journal of Computing and Corporate Research volume 2 Issue 1 January 2012. 6) Shanthala S & S. Y. Kulkarni High speed and low power FPGA implementation of FIR filter for DSP applications European Journal of Scientific Research ISSN 1450-216X Vol.31 No.1 (2009), pp.19-28 7) X. Hu, L. S. DeBrunner, and V. DeBrunner, 2002, An efficient design for FIR filters with Variable precision, Proc. 2002 IEEE Int. Symp. on Circuits and Systems, pp.365-368, vol.4,may 2002. 8) Ki-Il Kum, Member, IEEE, and Wonyong Sung, Member, IEEE, Combined Word-Length Optimization and High-Level synthesis of Digital Signal Processing Systems, IEEE Transactions On Computer-Aided Design Of Integrated Circuits And Systems, Vol. 20,No.8,August2001. 1948