Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Similar documents
Sub-threshold Design using SCL for Low Power Applications

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

Design & Analysis of Low Power Full Adder

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Design of Multiplier using Low Power CMOS Technology

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Successive Approximation Registers

Design of Very Low Power 8 Bit SAR ADC in 90 nm using STSCL Approach

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Low Power Adiabatic Logic Design

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

The Layout Implementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

II. Previous Work. III. New 8T Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Novel Latch design for Low Power Applications

A Survey of the Low Power Design Techniques at the Circuit Level

Design of Multiplier Using CMOS Technology

Design of Effective Very Low Power SAR ADC in 90 nm Using STSCL Approach

IN digital circuits, reducing the supply voltage is one of

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Sub-threshold Logic Circuit Design using Feedback Equalization

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Performance analysis of Modified SRAM Memory Design using leakage power reduction

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Low-Power Digital CMOS Design: A Survey

Implementation of dual stack technique for reducing leakage and dynamic power

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Low Voltage Standard CMOS Opamp Design Techniques

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

2-Bit Magnitude Comparator Design Using Different Logic Styles

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

ISSN Vol.04, Issue.05, May-2016, Pages:

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

LOW POWER FOLDED CASCODE OTA

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Jan Rabaey, «Low Powere Design Essentials," Springer tml

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

Design of Adder in Multiple Logic Styles for Low Power VLSI

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) HIGH-SPEED 64-BIT BINARY COMPARATOR USING NEW APPROACH

IJMIE Volume 2, Issue 3 ISSN:

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Design Of A Comparator For Pipelined A/D Converter

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

WHILE the energy dissipation in CMOS digital systems

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

PROCESS and environment parameter variations in scaled

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

Design Of Level Shifter By Using Multi Supply Voltage

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Low Power &High Speed Domino XOR Cell

An energy efficient full adder cell for low voltage

Design of High Gain Low Voltage CMOS Comparator

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

A Novel Low-Power Scan Design Technique Using Supply Gating

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

Transcription:

International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal 3 & Kamal Kant 4 1, Department of Electronics & Communication Engineering, NIT Hamirpur, (H.P.), India 3,4 Department of Computer Science & Engineering, NIT Hamirpur, (H.P.), India Abstract: The demand for building ultra low power circuit emerges as a challenge in recent years. This demand is due to the fast growth in battery operated wearable computing systems and implantable medical instruments. The design challenges in such systems are; low sensitivity to supply voltage variation and independence in performance with respect to power supply. Furthermore, these challenges are to be mitigated with the objective of ultra low power consumption. Subthreshold regime of operation of the devices is preferred these days which satisfies the need of ultra low power operation. The devices are operated at subthreshold regime when the supply voltages are scaled below the threshold voltage (VT) of the devices. The complementary metal oxide semiconductor (CMOS) logics are preferred conventionally for minimum energy consumption, but with scaling down of technology the leakage currents become dominant in these logics. The CMOS logic requires careful control of supply voltage since both the power consumption and speed of operation depends upon supply voltage. Furthermore, the CMOS logic does not satisfy the need of substrate and supply noise immunity. This leads to the evolution of Sub-threshold source coupled logic (STSCL) which not only mitigate the noises but also proves to consume few pico- Watts of power at subthreshold. This power consumption is much lower as compared to the subthreshold leakage of static CMOS logic. These superior properties of STSCL makes it suitable candidate for implementing ultra-low-power systems in modern nano meter scale technologies. In this paper we have investigated all these properties of STSCL logic and its few applications. It is concluded that STSCL not only has comparable or even better power-delay performance but also has more robust in its performance at both analog and digital domain. Consequently, the STSCL is a promising candidate for designing ultra low power gadgets. Keywords: Sub-threshold Source Coupled Logic (STSCL), Process Voltage Temperature (PVT) Analysis, Static Random Access Memory (SRAM) 1. INTRODUCTION ULTRA low power operation of electronic instruments are the need of the hour due to the rapid growth in portable computing and medical instruments etc. These devices are operated with the help of small and lesser powered battery whose voltage reduces with time. Therefore, the challenge of the devices starts with their durability issue. Number of approaches has been proposed in literature to optimize the power consumption of the electronic circuits inside these devices [1]. However, the ultra low power operation leads to scale the supply voltage below the threshold voltage (V T ) of the device so as to operate the device at subthreshold []. The presence of various leakage currents at subthreshold restrict continuous scaling of supply voltages in CMOS circuits [3]. Because reducing supply voltage results in reduction of effective voltage V eff = V V T, which in turn reduces the ratio of on current (I ON ) to off current (I OFF ). This in turn lowers the reliability and power efficiency of the circuit [4]. The operations of the devices at subthreshold amounts *Corresponding Author: ashutosh.chl@gmail.com, gaurav.nitham@gmail.com, ashuamit.akj@gmail.com, kamalkant5@gmail.com to trading off power consumption with speed of operation as both are supply voltage dependent. Again the process, voltage and temperature (PVT) variation analysis has to be carried out to check the robustness of circuit in addition to the ultra power requirement [5]. The Sub-threshold source coupled logic proves to be more robust in terms of PVT analysis in addition to satisfying the need of ultra low power consumption [6-8]. Due to accurate control of very low tail bias current the power consumption as well as the delay of the circuit can be optimized. This tail current is switched in the two arms of the differential NMOS network whose value is well below the subthreshold leakage current of conventional static CMOS circuit. In this paper the STSCL logic is reviewed comparing its performance with conventional CMOS logic. Rest of the paper is arranged as follows in section II the basic concept of STSCL logic is reviewed. Section III contains the performance analysis of standard CMOS logic. A comparative study is done in section IV. Section V describes few applications of STSCL circuits and finally conclusion has been drawn in section VI.

0 International Journal of Electronics Engineering. CONCEPT OF STSCL In this section the concept of STSCL circuit is described with its power and speed trading-off equations in addition to its advantages of tighter process and temperature invariant properties. A. STSCL Overview The logic operation of STSCL logic starts with switching its constant tail bias current (I SS ) in the two arms of the differential structure as shown in Fig. 1 [8]. This current is converted to voltage by means of the load device R L formed by the weak PMOS transistor. The required voltage swing ( = R L I SS ) has to be high enough for subsequent logic operation. As the value of I SS is very small therefore, the resistance made up of weak PMOS has to be of very high value for desired output swing. The PMOS bulk is connected to drain to achieve even better resistance value. A replica bias circuit is used to control the resistivity of the PMOS load and thereby adjust the output swing with respect to tail bias current [8]. The requirement of voltage swing for proper Boolean expression is [9]: > 4.n n UT (1) where, n n = subthreshold slope factor, U T = kt/q thermal voltage. P diss, STSCL,1 = V I SS () The main speed limiting factor in STSCL arises from the circuit output time constant. The propagation delay of each gate can be estimated by: VSW C τ In() I where, C L = total output capacitance. SS From equation () and (3) it is observed that the power and speed both of STSCL logic depends upon the tail bias current I SS, which can be accurately controlled. Therefore, this circuit has low sensitivity to the process variations [10]. It is also seen from equation () that the power consumption is constant and is independent of the operation frequency. This indicates that, the STSCL circuits can be used at maximum activity rate to attain maximum achievable efficiency. Furthermore, unlike static CMOS the delay of STSCL is independent of supply voltage as shown in equation (3). That means the circuit performance is not immune to supply voltage variations. as L (3) The power delay product of each gate can be calculated PDP STSCL, 1 In V C L (4) This power delay product, when considering N cascaded identical stages operating at a frequency of ƒ op, will be PDP diss,stscl, N In N V C L f op (5) C. Minimum Supply Voltage The minimum supply voltage of a STSCL gate is [11] V,min = V CS + V CS1 (6) where, V CS = the required headroom for the current source. Since, all the devices are in subthreshold, therefore V CS 4U T. For complete switching V GS, 1 should be always greater than. Therefore assuming 6U T, the minimum supply voltage will be: V,min 10U T (7) Figure. 1: STSCL Inverter/Buffer Schematic B. Power/Speed Tradeoff The static power dissipation will be always there in the circuit as the constant current is always drawn from the V. However, this power will be of lesser magnitude as the tail bias current I SS is in the range of few tens of pico ampere [9]. This static power consumption is: D. PVT Variation From equation (5) it is concluded that threshold voltage influence neither speed nor power consumption of STSCL. This indicates the invariance of circuit performance due to process and voltage variations. Secondly, as the replica bias circuit is used to adjust the swing hence, the effect of temperature variation is mitigated as well. In Fig. it is shown that the effect of temperature on STSCL MUX changes the delay maximum by 4% [11].

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) 1 N / if N even where, γ = I peak / I leak and n = ( N + 1) / if N odd However, at higher operating frequency, dynamic power will be dominant and will be proportional to the square of frequency. Based on equation (9) for activity rates smaller than c = 6N/η,γ (10) The subthreshold leakage power consumption will be dominant, while for higher activity rates, the dynamic power will be dominant. Figure. : Delay Variation of STSCL MUX Due to Temperature 3. PERFORMANCE ANALYSIS OF CMOS LOGIC CIRCUITS Similar to the STSCL circuit the RMS power consumption (static) of N cascaded CMOS logic structure is [10]: P diss,cmos,n = 1 T V i () 0 t dt T (8) A chain of N cascaded structured inverters and the current waveform is shown in Fig. 3 [10]. 4. COMPARISON OF CMOS/STSCL Using equation (5) and (9) the maximum logic depth for which STSCL can have lower power consumption is: Ileak if α << αc In VSWC L fop N max (11) V I peak 3 if α >> α C UT F, CL foput where, F depends on supply voltage and voltage swing in STSCL circuit as: F = ( 6 In V V /) U (1), STSCL SW T Fig. 4. Shows the graph indicating the maximum logic depth (N) for which STSCL consumes less power than its CMOS counterpart [10]. Figure. 4: Logic Depth for which STSCL Exhibit Less Power Consumption than CMOS Figure. 3: (a) A Chain of N Cascaded Inverter Structure. (b) Current Waveform of Inverter From Fig. 3 the maximum frequency of operation of a single gate is ƒ max = 1/(t d ). the activity rate of the circuit is calculated as α = ƒ op /ƒ max. The total RMS power consumption of the circuit is: P diss,cmos,n = NI leak V αη γ γ 1+ + 6 N N (9) 5. APPLICATIONS OF STSCL This section briefly describes few applications of STSCL structure which exploits its PVT resiliency in circuit designing. A. SRAM Designing As the STSCL circuit is more power efficient and robust in nature hence it can be used to design the memory cells at subthreshold [1]. The 9T based SRAM is shown in Fig. 5 [1].

International Journal of Electronics Engineering In the ADC shown in Fig. 6 the STSCL topology is used to construct the digital part of the ADC. This digital part converts the output of course and fine ADCs to final digital outputs. For the analog part which consists of coarse and fine ADCs, a current mode approach is employed. Therefore, the circuit can be operated at very low tail bias currents and at the same time have a wide tuning range. The power scalability of these types of circuits is especially important for power management. This approach will be surely helpful in designing useful applications such as biomedical systems and sensor networks. Figure. 5: STSCL based 9T SRAM Cell The core of the design is the cross coupled connection of conventional STSCL inverter which acts as a latch. During write operation the M6 and M7 transistors are turned on and the voltage levels at Bit lines are stored in Q N and Q P nodes. When the write signals are disabled the states of the cell is preserved by the positive feedback operation of M1 and M. Since Q N and Q P nodes have already been charged to the intended values, no extra settling time is required and the write operation is very fast. During read operation an open-drain differential pair is formed by M8-M9, driven by the tail bias transistor M10 which is external to the cell and shared by the cells on a word-line. M10 is turned on and conducts the current I READ, which is passed through one of the output branches of BL/ BLB depending on the stored data on the core. This output current is detected by a current-mode sense ampliûer (SA) and converted to voltage. Therefore, the speed of the read operation is completely independent of the core tail bias current (I CORE ) and depends only on I READ as well as the parasitic capacitances at the nodes BL/BLB. B. Mixed Signal Design The basic aim of designing constraint is, the use of a common basis for the design of analog and digital parts provides the possibility to adjust the power frequency performance of the entire mixed signal system using a single control unit [13]. The circuit diagram of the folding and interpolating ADC is shown in Fig. 6 [13]. Figure. 6: STSCL based Folding and Interpolating ADC 6. CONCLUSIONS The STSCL logic has robust performance at subthreshold since the performance of the circuit is almost invariant to process voltage and temperature variations. The circuit is a promising candidate for high activity rate design where power consumption is lesser as compared to its CMOS counterpart. The STSCL circuit can exhibit comparable or even better power delay performance at lower activity rate as the technology scales into nano-scale regime. This is because the CMOS static power consumption is a challenging issue at the deep submicron technology. REFERENCES [1] M. Pedram and J. Rabaey, Power Aware Design Methodologies, Kluwer Academic Publishers, 00. [] B. H. Calhoun, A. Wang and A. Chandrakasan, Modeling and Sizing for Minimum Energy Operation in Subthreshold circuits, IEEE J. Solid-State Circuits, 40, No. 9, pp. 1778-1786, Sep. 005. [3] B. Nikoli c, Design in the Power Limited Scaling Regime, IEEE Trans. on Electron Devices, 55, No. 1, pp. 71-83, Jan. 008. [4] M. Anis and M. Elmasry, Multi-Threshold CMOS Digital Circuits, Managing Leakage Power, Kluwer Academic Publishers, 003. [5] N. Verma, J. Kwong and A. Chandrakasan, Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits, IEEE Trans. on Electron Devices, 55, No. 1, pp. 163-174, Jan. 008. [6] A. Tajalli, E. Vittoz, Y. Leblebici, and E. J. Brauer, Ultralow Power Subthreshold Current-mode Logic Ulitising PMOS Load Device Concept, IET Electronics Letters, 43, No. 17, pp. 911-913, Aug. 007. [7] A. Tajalli, E. J. Brauer, Y. Leblebici and E. Vittoz, Subthreshold Source Coupled Logic Circuits for Ultra-low Power Applications, IEEE J. Solid State Circuits, 43, No. 7, pp. 1699-1710, Jul. 008. [8] A. Tajalli, E. Brauer and Y. Leblebici, Ultra-Low Power 3-bit Pipelined Adder Using Subthreshold Source-Coupled Logic with 5fJ/stage PDP, Microelectronics Journal, 40(009), pp. 973-978, 009. [9] P. R. Gray, P. J. Hurst, S. H. Lewis and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, John Wiely & Sons Inc., Fourth Edition, 000.

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) 3 [10] A. Tajalli and Y. Leblebici, Subthreshold Leakage Reduction: A Comparative Study of SCL and CMOS Design, In IEEE International Symposium on Circuits and Systems (ISCAS), pp. 553-556, 009. [11] A. Tajalli and Y. Leblebici, Leakage Current Reduction Using Subthreshold Source-Coupled Logic, IEEE Transaction on Circuits and Systems-II, pp. 347-351, 009. [1] A. Tajalli and Y. Leblebici, Subthreshold SCL for Ultra- Low-Power SRAM and Low-Activity-Rate Digital Systems, In European Solid-State Circuits Conference (ESSCIRC), pp. 164-167, Athens, Greece, 009. [13] A. Tajalli and Y. Leblebici, Ultra-Low Power Mixed-Signal Design Platform Using Subthreshold Source-Coupled Circuits, In Design, Automation, & Test in Europe (DATE). DATE, 010.