Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Similar documents
Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Novel Low-Power Scan Design Technique Using Supply Gating

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

induced Aging g Co-optimization for Digital ICs

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Microelectronics Journal

Low Power Design of Successive Approximation Registers

Leakage Current Analysis

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

NBTI Degradation: A Problem or a Scare?

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Low Power, Area Efficient FinFET Circuit Design

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Temperature-aware NBTI modeling and the impact of input vector control on performance degradation

PROCESS and environment parameter variations in scaled

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

RECENT technology trends have lead to an increase in

A gate sizing and transistor fingering strategy for

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble

CHAPTER 1 INTRODUCTION

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Investigation on Performance of high speed CMOS Full adder Circuits

A REPORT ON LOW POWER VLSI CURCUIT DESIGN

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Power Reduction by Using Sleep Methods

Power-Area trade-off for Different CMOS Design Technologies

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology.

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

CIRCUIT reliability is one of the major concerns in VLSI

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Leakage Power Reduction in CMOS VLSI

Impact of Adaptive Voltage Scaling on Aging-Aware Signoff

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

Implementation of High Performance Carry Save Adder Using Domino Logic

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

STATIC cmos circuits are used for the vast majority of logic

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode

Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in Multi Voltage Domain

Design of Two New High-Performance Full Adders in Sub-threshold Region for Ultra-Low Power Applications

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

THE energy consumption of digital circuits can drastically

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Design of Signed Multiplier Using T-Flip Flop

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

Design Analysis of 1-bit Comparator using 45nm Technology

Ultra Low Power VLSI Design: A Review

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

ISSN:

Transcription:

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute of Informatics, Federal University of Rio Grande do Sul, Porto Alegre, Brazil. Abstract Negative Bias Temperature Instability (NBTI) has become a critical reliability concern for nanometer PMOS transistors. A logic function can be designed by alternative transistor networks. This work evaluates the impact of the NBTI effect in the delay of CMOS gates considering both the effect of intra cell pull-up structures and the effect of decomposing the function into multiple stages. Intra cell pull-up PMOS transistor arrangements have been restructured to minimize the number of devices under severe NBTI degradation. Also, circuits decomposed into more than one stage have been compared to their single stage design version. Electrical simulation results reveal that the restructuring of intra-cell transistor networks recovers up to 15% of rise delay degradation due to NBTI, while the decomposition of single stage circuit topologies into multi-stage topologies tends to reduce the rise degradation delay at a cost of fall delay degradation.. 1. Introduction CMOS technology has been permanently scaling down during the last decades. Several aspects ignored in earlier technology nodes, such as leakage currents, variability and aging effects, are becoming critical concerns in nanoscaled design [1-5]. In particular, Negative Bias Temperature Instability (NBTI) has received an increased interest [6-12]. It refers to the generation of positive oxide charge and interface traps in metal-oxidesilicon structure under negative gate voltage bias (V gs = - V dd ), in particular at elevated temperature. PMOS transistors are mostly affected, since these devices are negatively biased when they are conducting. The NBTI effect increases the PMOS transistor threshold voltage (V th ) over time, reducing the device drive current and circuit speed [13]. Several logic functions can be designed using different kinds of transistor networks [14]. These variations can be achieved by using different logic styles or by restructuring the transistor arrangements. For instance, Fig. 1 shows two versions of traditional CMOS AOI21 gates with restructured PMOS pull-up plane. The logic functions can also be decomposed into multiple stages, as the NAND3 gate depicted in Fig. 2. It is well known that these different approaches present different behaviours in terms of area, speed and power consumption. They also present different levels of degradation due to NBTI. Fig. 1. Two logically equivalent AOI21 CMOS gates. In order to achieve a robust design, different solutions to mitigate NBTI degradation have been proposed in the literature [6-10]. At circuit level, some techniques insert additional modules to explore the NBTI supply and threshold voltage dependence [7], while other ones explore the NBTI input signal dependence by reordering the gate inputs [6,9,10]. At gate level, there are techniques that add a time slack margin to compensate NBTI degradation upsizing the transistors width [8]. The proposed work evaluates the NBTI degradation at both circuit and gate levels, through a previously unexplored focus. At gate level, the transistor arrangement restructuring in the PMOS pull-up plane is investigated. At circuit level, NBTI effect is evaluated in circuits decomposed into more than one stage. Electrical simulations are performed to evaluate the proposed techniques. 1

Fig. 2. NAND3: single gate and multi-stage circuit. This paper is organized as follows. Section 2 presents a brief review of important points about NBTI effect, giving an overview of related works and outlining the contribution of proposed approaches. Section 3 illustrates the used methodology and Section 4 presents the obtained simulation results and analysis. Finally, the conclusions are discussed in Section 5. order to manipulate the stress probability by logic restructuring to reduce the NBTI effects in circuit critical paths [10]. Fig. 3. NBTI transistor biasing: stress and recovery. 2. Background and Contributions The NBTI degradation is proportional to the temperature, power supply voltage, threshold voltage and to the probability that PMOS transistor is negative biasing. It occurs when the device presents the gate terminal in the logic value 0 and the source terminal in the logic value 1. This probability defines the period that a PMOS device stays in the stress and recovery phases. Fig. 3 illustrates both stress and recovery PMOS transistor biasing, and Fig. 4 depicts the V th variation during different bias conditions. A lower signal probability means a longer recovery time and a smaller V th degradation due to the NBTI effect. When a transistor stack is considered, as observed in AOI21 gates in Fig. 1, the steady state of the intermediate node determines whether each individual device is under negative bias stress [6]. It means that the signal probability of each PMOS transistor in a stack cannot be considered individually, but have to be associated to the probability of the other devices in the stack. PMOS transistors that are connected to the power supply (V dd ) suffer more V th degradation due to NBTI than the ones that are not connected directly to V dd. Fig. 5 shows the time under degradation (%) of a four transistor stack when all gate inputs have a signal probability of 0.5. Several design techniques to mitigate NBTI effects are reported in the literature [6-10]. The tuning of V dd and V th are effective techniques to compensate the performance degradation due to NBTI, since it is exponentially dependent of these parameters [7]. Gate sizing is another technique proposed to deal with performance uncertainties. Sufficient design margin to compensate NBTI degradation can be set before fabrication by oversizing the gate properly [8]. The signal probability and the position of the device in a transistor stack are explored in several ways. Pin reordering is used to reduce NBTI degradation when the circuit is in active mode [6]. Input vector is explored in order to minimize static degradation when the circuit is in standby state [9]. Functional symmetries are explored in Fig. 4. Threshol voltage (V th ) variation during different PMOS transistor bias conditions. Fig. 5. Time under stress biasing versus position in a four transistor stack. This work evaluates the delay degradation due to NBTI effect in two approaches. The first analysis explores the transistor arrangement restructuring in the PMOS pullup plane to compare the robustness of CMOS gates in terms of the NBTI effect. This analysis considers both signal probability and position of the transistor in the network. The second analysis explores the speed degradation due to NBTI effect when circuits decomposed into more than one stage are compared to their single stage design version. 3. Methodology The long term NBTI prediction model proposed in [11] is used to estimate the V th degradation due to this effect for a given time t and a signal probability α as described in following: V th = b α n t n (1) 2

where b = 3.9 10-3 V s -1/6 and n is the time exponential constant and equals to 0.16. The threshold voltage degradation has been estimated over 10 years and for several signal probabilities using the 45nm CMOS PTM process [15]. The stress probabilities for the devices have been computed considering equal signal probability of 0.5 for all primary inputs, and the particular position of each device in the transistor stack. In logic functions designed as circuits decomposed into multiple stages, each internal circuit node also considers its previous logic gate function probability. Electrical characterization has been executed for different design versions of logic functions, considering fanout 4 delay (nominal and degraded delay). 4. Simulation Results and Analysis Fig. 6. Two logically equivalent AOI211 CMOS gates. 4.1. Transistor Network Restructuring As mentioned previously, a logic function can be designed using different transistor networks. Fig. 1 illustrates two different pull-up PMOS designs for the AOI21 logic gate. These solutions present naturally different delay and power consumption behaviours. They also suffer different levels of degradation due to NBTI. In this section, the pull-up PMOS transistor arrangement is restructured to explore the NBTI degradation dependence. Assuming all gate inputs with equal signal probability (50%), PMOS transistors that are connected to the power supply (V dd ) suffer more V th degradation than the ones which are not connected directly to V dd, as shown in Fig. 5. Based on the previous statement, a more robust CMOS gate design, with respect to NBTI degradation, may connect as few as possible transistors to the power supply. In the pull-up arrangement of the AOI21, AOI211, and AOI221 gates illustrated in Fig. 1, Fig. 6 and Fig. 7, respectively, two transistors are submitted to severe NBTI degradation since they are directly connected to V dd. On the other hand, the topologies in Fig. 1, Fig. 6 and Fig. 7, present just one transistor connected to V dd and consequently only this device suffers significant degradation. Fig. 8 illustrates two versions of a typical circuit used in carry lookahead adder unit, named here CLAunit. According to previous analysis, the gate depicted in Fig. 8 has more transistors close to V dd, and so suffers higher NBTI degradation than the one shown in Fig. 8. Fig. 7. Two logically equivalent AOI221 CMOS gates. Fig. 8. Two logically equivalent CLAunit gates. Table 1 presents the degradation on the average rise 3

propagation delay through gates depicted in Fig. 1, Fig. 6, Fig. 7 and Fig. 8 due to NBTI effect. The degradation recovered due to transistor arrangement restructuring is also presented. The results show that up to 15% of the NBTI speed degradation can be recovered by transistor arrangement restructuring. Table 1 Average rise delay degradation in gates depicted in Fig. 1, 6, 7, and 8. Average Rise Gate Delay Degradation (%) AOI21 8,69 AOI21 7,76 AOI211 8,95 AOI211 7,60 AOI221 8,66 AOI221 8,17 CarryOut 9,13 CarryOut 7,85 4.2. Single gate versus multiple stage circuit Average Rise Degradation Recovered (%) 10,74 15,04 5,61 13,97 Table 2 shows the average delay degradation of NAND3, NOR3, AOI21, AOI211 and AOI221 gates designed as a circuit decomposed into multiple stage compared to their single stage version. The chosen single stage versions are the ones that have presented less delay degradation in previous section. The decomposed version of NAND3 referred by Table 2 is depicted in Fig. 2, and the decomposed version of NOR3 follows the same structure changing the NAND2 gates by NOR2 gates. The decomposed versions of AOI21, AOI211 and AOI221 are shown in Fig. 9, Fig. 10 and Fig. 11. In these figures, the difference between and (c) versions is the input connections ordering on the NOR3 gate in the last stage. The up connection in the NOR3 symbol represents the one connected to the transistor closer to V dd. Fig. 9. AOI21 decomposed versions. (c) Fig. 10. AOI211 decomposed versions. The CLAunit circuit, depicted in Fig. 8, is also evaluated and the results are also presented in Table 2. In this analysis, two decomposed versions are evaluated. These versions are illustrated in Fig. 12. A decomposed three gate level version is depicted in Fig. 12, while Fig. 12 illustrates a decomposed seven gate level version that instantiates logic gates with just two inputs. The NBTI effect just degrades the threshold voltage of PMOS transistors. Therefore, as verified in Section 4.1, the rise delay is increased in single stage design approaches. The results presented in Table 2 show that the decomposed versions present degradation in both rise and fall delay. In these multiple stage designs, the rise delay degradation may not be as severe as in single stages at the penalty of fall delay degradation. It can be explained since the fall output transition depends on at least one rise transition at an intermediate node, which is defined by a pull-up network composed by PMOS transistors. (c) 4

(c) Fig. 11. AOI221 decomposed versions. AOI211 AOI221 C3 Decomposed version Decomposed version (c) 7,76 7,90 1,38 1,10 Single gate 7,60-0,26 Decomposed version Decomposed version Decomposed version (c) 5,33 8,08 8,48 2,81 0,76 0,59 Single gate 8,17-0,36 Decomposed version Decomposed version Decomposed version (c) 5,39 8,10 8,59 2,60 1,13 1,02 Single gate 7,85-0,31 Decomposed version Decomposed version 5,59 5,98 2,35 2,89 5. Conclusions Fig. 12. CLAunit decomposed versions. In single stage CMOS gates, the logic paths responsible for the fall output transition are composed solely by NMOS transistors and should not be affected by NBTI. However, this single stage designs may even present a small improvement in fall delay. It is caused by the weakening of PMOS transistors current, reducing the influence of the pull-up network during the output fall transition. Table 2 Average delay degradation of logic functions designed as a single CMOS gate and as a decomposed circuit in multiple stages of basic logic gates. Rise delay Fall delay Logic Function degradation degradation (%) (%) Single gate 7,63 0,00 NAND3 Decomposed version 7,65 1,22 Single gate 8,11-0,18 NOR3 Decomposed version 6,28 1,35 Single gate 7,76-0,08 AOI21 Decomposed version 6,23 2,12 Several design solutions that can be used to represent a certain logic function are explored considering the influence in terms of the NBTI speed degradation. The results show that the transistor arrangement restructuring is a potential design solution to recover the delay degradation due to NBTI effect. This technique can be used combined with other ones already proposed in the literature to achieve better results. The use of logic functions decomposed into more than one stage can also be a solution to reduce the rise delay degradation at a cost of fall delay degradation. Acknowledgements Research partially funded by Nangate Inc under a Nangate/UFRGS research agreement, by CNPq Brazilian funding agency, and by the European Community's Seventh Framework Programme under grant 248538 Synaptic. References [1] B.H. Calhoun et al. Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS. Proceedings of the IEEE Vol. 96, No. 2, February 2008 pp.343-365 [2] ROY, Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits. PROCEEDINGS OF THE IEEE, VOL. 91, NO. 2, FEBRUARY 2003, pp. 305-327 [3] K. Bernstein et al. High-performance CMOS variability in the 65-nm regime and beyond. IBM Journal of Research and Development, vol. 50, Issue 4/5 (July 2006), pp. 433-449 [4] Borak Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. Volume 25, Issue 6 (November 2005). Pages: 10-16 [5] Shekhar Borkar, Tanay Karnik, Vivek De. Design and Reliability Challenges in Nanometer Technologies. DAC 5

2004 [6] S. V. Kumar, C. H. Kim, and S. S. Sapatnekar. NBTI-Aware Synthesis of Digital Circuits. DAC 2007. [7] L. Zhang and R. P. Dick. Scheduled Voltage Scaling for Increasing Lifetime in the Presence of NBTI. ASPDAC 2009. [8] B. C. Paul et al. Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits. DATE 2006. [9] Y. Wang et al. Temperature-Aware NBTI Modeling and the Impact of Input Vector Control on Performance Degradation. DATE 2007. [10] K.-C. Wu and D. Marculescu. Joint Logic Restructuring and Pin Reordering against NBTI-Induced Performance Degradation, DATE 2009. [11] W. Wang et al. An Efficient Method to Identify Critical Gates under Circuit Aging, ICCAD 2007. [12] M. Agarwal et. al. Circuit Failure Prediction and Its Application to Transistor Aging. VLSI Test Symmposium, 2007 [13] D. K. Schroder. Negative bias temperature instability: What do we understand?. Microelectronics Reliability, Vol 47, n. 6, June 2007, pp. 841-852 [14] Da Rosa Jr, L. S. et. al. Switch Level Optimization of Digital CMOS Gate Networks. ISQED 2009 [15] FreePDK 45nm Predictive Technology. Avaliable at: http://www.eda.ncsu.edu/wiki/freepdk. 2009. 6