Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Similar documents
Major Fabrication Steps in MOS Process Flow

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Part 5-1: Lithography

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Photolithography I ( Part 1 )

Device Fabrication: Photolithography

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

EE 143 Microfabrication Technology Fall 2014

Lecture 13 Basic Photolithography

KMPR 1010 Process for Glass Wafers

Chapter 6. Photolithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Semiconductor Technology

Photolithography Technology and Application

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Chapter 6 Photolithography

Module 11: Photolithography. Lecture11: Photolithography - I

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

AZ 1512 RESIST PHOTOLITHOGRAPHY

i- Line Photoresist Development: Replacement Evaluation of OiR

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365HR

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Photolithography II ( Part 2 )

Module - 2 Lecture - 13 Lithography I

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

3.Photolithography and resist systems

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool

DOE Project: Resist Characterization

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

MICROLITHOGRAPHY 2004

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICRO AND NANOPROCESSING TECHNOLOGIES

T in sec, I in W/cm 2, E in J/cm 2

Micro/Nanolithography

Chapter 3 Fabrication

T in sec, I in W/cm 2, E in J/cm 2

UFNF YES Image Reversal & HMDS Oven Revision 6.0 1/22/2014 Page 1 of 5. YES Image Reversal and HMDS Oven SOP

Process Optimization

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EE-527: MicroFabrication

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

Topic 3. CMOS Fabrication Process

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

State-of-the-art device fabrication techniques

T in sec, I in W/cm 2, E in J/cm 2

Lecture 5. Optical Lithography

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

CHAPTER 2 Principle and Design

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training

Fabrication Techniques of Optical ICs

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Microlens formation using heavily dyed photoresist in a single step

(2) The resist now would behave like an exposed positive resist. soluble

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

Lecture 8. Microlithography

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

Optolith 2D Lithography Simulator

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

Sub-50 nm period patterns with EUV interference lithography

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98

Micro- and Nano- Fabrication and Replication Techniques

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

420 Intro to VLSI Design

Chapter 2 Silicon Planar Processing and Photolithography

Optical Requirements

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography

EXPERIMENT # 3: Oxidation and Etching Week of 1/31/05 and 2/7/05

optical and photoresist effects

Fabrication of micro structures on curve surface by X-ray lithography

Micro- and Nano-Technology... for Optics

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

plasmonic nanoblock pair

Supplementary Materials for

2 Integrated Circuit Manufacturing:

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

Transcription:

Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1

Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion Beam 2

Lithography - Overview Thin Films Polish Patterned wafer Diffusion Litho Etch Test/Sort Implant * Lithography is at the Center of the Wafer Fabrication Process 3

Moore s Law Duplication of the complexity (number of circuits/transistors on a chip) of integrated circuits every 2 years (exponentional growth) [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 4

Moore s Law https://ourworldindata.org/wp-content/uploads/2013/05/transistor-count-over-time.png 5

Lithography - Overview Lithography is used to produce 2 1/2-D images using radiation sensitive resist and controlled exposure to radiation. The most widely used form of lithography is photolithography using light sensitive resist. UV-Lithography X-ray lithography Electron Beam Lithography Ion Beam Lithography De Broglie: h mv Wave length λ of radiation limits achievable resolution. (resolution of e- and ion-beam lithography limit by scattering) 6

Lithography - Overview Ten Basic Steps of Lithography 1. Surface Preparation 2. Resist Application 3. Soft Bake 4. Alignment & Exposure 5. Develop 6. Hard Bake 7. Inspection 8. Etch 9. Resist Removal 10. Final Inspection 7

Lithography - Overview Basic Steps of Lithography Image source: M. Madou, Lecture Notes, 2008 8

Lithography - Overview Basic Steps of Lithography Coat with resist Expose Resist Substrate Radiation Mask Develop Transfer pattern Etch Doping Deposit film Strip resist LIFT-OFF Image source: R. Zengerle, lecture notes, Mikrosystemtechnik 9

Lithography - Overview Basic Steps of Lithography Alternative scheme: Hard masks (1) Structure transfer to a deposited layer on the substrate (2) Patterned layer is used as hard mask in a second etching step [R. Zengerle, Mikrosystemtechnik, lecture notes] 10

Lithography - Overview A resist is a radiation sensitive polymer. patterned selectively resistant to following processing Negative resist: Prints a pattern that is opposite of the pattern that is on the mask. Positive resist: Prints a pattern that is the same as the pattern on the mask. Image source: R. Zengerle, lecture notes, Mikrosystemtechnik 11

Lithography - Overview Negative lithography Chrome island on glass mask Shadow on photoresist Ultraviolet Light Areas exposed to light become polymerized and resist the develop chemical. Exposed area of photoresist Island photoresist Window Image source: M. Madou, Lecture Notes, 2008 photoresist oxide silicon substrate oxide silicon substrate Resulting pattern after the resist is developed. 12

Lithography - Overview Positive lithography Ultraviolet Light Areas exposed to light become soluble. Chrome island on glass mask Shadow on photoresist Island Window Exposed area of photoresist photoresist Image source: M. Madou, Lecture Notes, 2008 photoresist oxide silicon substrate oxide silicon substrate Resulting pattern after the resist is developed. 13

Lithography - Overview Example: (DNQ-) Reaction (positive) During exposure with UV-light the photo active compound DiazoNaphtoQuinone- (DNQ-) sulfonate (left) separates a N 2 molecule (middle), and converts into indene carboxylic acid (right) requiring H 2 O. Compared to unexposed DNQsulfonate, the carboxylic acid yields a resist development rate (alkaline solubility) several orders of magnitude higher. λ λ quantum efficiency 20.. 30 % backbone molecules (viscosity) [www.microchemicals.eu] 14

Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion Beam 15

1. Surface Preparation by Wafer Priming (HMDS) Adhesion of the resist is often insufficient on Si or SiO 2 HMDS as adhesion promoter Dehydration bake in enclosed chamber with exhaust Clean and dry wafer surface (hydrophobic) Hexamethyldisilazane (HMDS) Temp ~ 200-250 C Time ~ 60 sec HMDS [M. Madou, Fundamentals of Microfabrication, Lecture Notes] 16

unpolar methyl groups form hydrophobic surface with corresponding resist wettability and adhesion HMDS (hexamethyldisilazane) [M. Madou, Fundamentals of Microfabrication, Lecture Notes] 17

2. Resist Application Wafer or substrate are held onto vacuum chuck Dispense few milliliters of photoresist Slow spin ~ 500 rpm Ramp up to ~ 3000-5000 rpm Quality issues: thickness uniformity particles & defects to vacuum pump photoresist dispenser vacuum chuck spindle 18

Resist thickness T depends on: Spin speed Solution concentration Molecular weight (measured by intrinsic viscosity) c h T K w In the equation for T, K is a calibration constant, C the polymer concentration in grams per 100 ml solution, h the intrinsic viscosity, and w the number of rotations per minute (rpm) The equation can be used to predict the thickness of the resist that can be spun for various molecular weights and solution concentrations of a given polymer and solvent system 19

Spin Coater Spin Coater OPTIspin ST22P Substrate size up to 8 Chucks for 4, 6, 8 and pieces spin speed up to 10,000 rpm Hot Plate HMDS Adhesion promoter (C 6 H 19 NSi 2 ) Temperature up to 200 20

Spin Coating Spray Coating Image source: M. Madou, Lecture Notes, 2008 21

3. Softbake Partial evaporation of resist solvents Improves adhesion Improves uniformity Improves etch resistance Improves linewidth control Optimizes energy absorbance characteristics of resist 22

4. Alignment & Exposure Transfers the mask image to the resist-coated wafer UV Light Source Activates photo-sensitive components of photoresist Mask Quality issues: linewidth resolution overlay accuracy particles & defects Resist 23

Masks for optical Lithography: Drawing with CAD Design the layout with CAD-programms Example for a mask structure for microinductors 24

Masks for optical Lithography: Quartz glass plate with structured chromium layer (absorber pattern metal, Cr typ. thickness: 100 nm) Typical costs: 30 /cm 2 for structures > 5 µm 75 /cm 2 for structures 1-5 µm masks for 4 wafer approx. 400-2.500 [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 25

Fabrication of masks: deposit resist on chromium coated quartz plate structure resist with electron beam lithography develop resist wet etching of chromium resist removal [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 26

Printing techniques: Shadow Projection [M. Madou, Fundamentals of Microfabrication, Lecture Notes] 27

Contact printing: Mask is pressed to the wafer/resist in contact without gap (hard/soft) contact mode / vacuum mode + structures in sub-μm range are possible + minor failures at the pattern transfer contamination of the mask defects by dirt particles [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 28

Proximity printing: No direct contact between mask and wafer/resist proximity gap of ~ 30µm + less stress on the mask + higher lifetime of the mask + higher throughput lower resolution [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 29

Projection printing: Downsizing of the mask structure by objectives Step-by-step projection of the mask structure on the wafer only one functional unit (e.g. chip) on the wafer mirror light source filter condenser lens mask + enlarged masks easier in production: better to control cheaper production of masks (only 1 chip on the mask) equipment is expensive (lenses) low throughput objective field of exposure movable sample table (step and repeat) [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 30

Intensity emission spectrum of a mask aligner with Hg light source contains three lines the absorption spectrum of the photoresists is matched to this Hg emission spectrum. distinguish between broadband sensitive (g-, h-,and i-line) photoresists, and resists with a absorption spectrum more narrow Ligth Source/Sensitivity of Resists: h-, i-line resist g-, h-, i-line resist Wavelength [www.microchemicals.eu] 31

Resolution in contact and proximity printing: The theoretical resolution R is equal to the minimum resolved dimension with a grating mask (b min for a line or a space). It is limited by diffraction to: R 3 z bmin s 2 2 b min : half grating period s: gap between mask and photoresist surface z: resist thickness 32

Resolution in contact and proximity printing: Contact printing: s 0 R Typical values for a conventional system: 3 2 z 2 400nm (h - line) z 1µm R 0. 7µm (theoretical) Proximity printing: s z R Typical values for a conventional system: 3 2 s 400nm (h - line) s 20µm R 4µm (theoretical) 33

Resolution is influenced by: wavelength (diffraction) gaps between resist and mask Reasons for a gap between resist and chromium layer of the mask: particles in the resist caused by either insufficient cleanroom conditions, contaminated substrates, or expired photoresist bubbles in the resist film caused during dispensing, or an insufficient delay time after refilling/diluting/moving the resist mask contamination by particles, or resist (previous exposures) rough, structured or curved (strained) substrates an edge bead, or a mask attached upsidedown 34

Contributors to Non-Rectangular Wafer Pattern Lithography process variations: Lens aberration, misalignment, defocus, overexposure Sub-wavelength non-ideal optical effects due to Diffraction Those effects result in wafer pattern distortion: Line-end shortening, corner rounding, line-edge roughness 35

theoretical max. resolution (μm) Resolution: gaps between resist and mask resist thickness not considered [www.microchemicals.eu] Light intensity distribution in a photo resist film (cross section) with a - from top to bottom- increasing gap between mask and resist film. 36

absorption coeff (1/ μm) Resolution is influenced by: wavelength (diffraction) gaps between resist and mask defects in the mask bleaching of the photoresist contrast optical substrate properties [www.microchemicals.eu] 37

d/d o of the developed resist film Contrast: The slope of the decay in the contrast curve defines the contrast (remaining layer thickness d in relation to the starting thickness d 0 ) high contrast low contrast Log 10 (exposure dose) D C (dose to clear) depends on resist thickness, developer concentration and development time. 38

UV-reflecting substrates (e.g. metallized) increase the absorbed light dose in the resist near the substrate UV-transmissive substrates (e.g. quartz, glass, thick SiO 2 on Si, transparent polymers) laterally guide light along the substrate, cause reflections from the chuck and reduce the lateral resolution Resolution: optical substrate properties [www.microchemicals.eu] 39

Alignment: wafer stage in a coventional mask aligner [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 40

Alignment: Pattern transfer using several masks demands the alignment with sub-µm accuracy performance in a mask aligner substrate is movable in x, y and θ first mask aligned at the flat large scale production: fully automatic alignment and exposure [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 41

Alignment: subsequent masks are aligned by means of alignment markers large scale production: fully automatic alignment and exposure [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 42

Alignment: = first mask second mask [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 43

Alignment: mask 1 mask 2 mask 3 double exposure 1 + 2 multiple exposure 1 + 2 + 3 [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 44

5. Develop Soluble areas of the resist are dissolved by developer chemical developer dispenser Visible patterns appear on wafer windows islands Quality issues: line resolution uniformity particles & defects to vacuum pump vacuum chuck spindle 45

Photoresist profiles: Undercut (LIFT-OFF) Vertical Overcut Dose : High Developer: Low Dose : Medium Developer: Moderate Dose : Low Developer: Dominant 46

Lift-Off process: Aim: Structuring metal without etch process structure the photoresist deposit metal (e.g. Pt) on the whole surface resolve the photoresist metal on resist is removed metal on substrate remains substrate metal resist Inversion of the edge profile by image reversal technique enables the lift-off process [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 47

Image reversal: Double exposure of resist and chemical modification in between positv resist behaves like high resolution negativ resist soluble insoluble crosslinking insoluble 1. Exposure 2. Temperature (~90 ) soluble flood exposure still insoluble 3. Exposure 4. Develop [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 48

Image reversal: Inversion of the edge profile Standard Lithography exposed unexposed Image Reversal [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 49

Photoresists: example for different resists for different applications and thickness [www.microchemicals.eu] 50

SU-8 Technology: epoxy-based negativ resist layer thickness up to ~500µm (up to ~2mm with several layers on top of each other) aspect ratio of 1:40 (high contrast of SU-8) high chemical resistance application as etching mask high volume shrinkage after postbake high stresses in large-scale structures handling more critical than for standard resists [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 51

6. Hard bake Evaporate remaining resist Improve adhesion Higher temperature than soft bake 52

8. After inspection the pattern transfer is performed, e.g. by etching Selective removal of upper layer of wafer through windows in resist: subtractive Two basic methods: wet acid etch Au with KI/I 2 Si with HF dry plasma etch Reactive Ion Etching (RIE) Ion Beam Etching (IBE) Quality issues: defects and particles step height selectivity Alternatively Adding materials (additive) Two main techniques: Sputtering evaporation Lift-Off CF 4 Plasma 53

9. Resist removal (strip) O 2 No need for resist in the following preparation step Two common methods: wet removal with solvent (e.g. aceton or N-methyl-2-pyrrolidone (NMP)) dry plasma etching with O 2 - plasma Followed by wet clean to remove remaining resist and strip byproducts Plasma 54

10. Final inspection Resist has been completely removed Pattern on wafer matches mask pattern (positive resist) Quality issues: defects particles (cleanroom class) step height overlay accuracy critical dimensions 55