IMPACT OF 450MM ON CMP

Similar documents
The Development of the Semiconductor CVD and ALD Requirement

Legacy & Leading Edge Both are Winners

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

21 st Annual Needham Growth Conference

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

David B. Miller Vice President & General Manager September 28, 2005

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

The SEMATECH Model: Potential Applications to PV

Glass Substrates for Semiconductor Manufacturing

Finishing first how automated systems improve the productivity and repeatability of wafer lapping and polishing

Accelerating Growth and Cost Reduction in the PV Industry

CMP for More Than Moore

Market and technology trends in advanced packaging

Changing the Approach to High Mask Costs

A European Perspective for Electronic Industry in Latin America

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Intel Technology Journal

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMP for Advanced Packaging

Pad effects on slurry transport beneath a wafer during polishing

Scaling of Semiconductor Integrated Circuits and EUV Lithography

LECTURE 7. OPERATIONAL AMPLIFIERS (PART 2)

420 Intro to VLSI Design

6.012 Microelectronic Devices and Circuits

Lithography in our Connected World

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Display Materials and Components Report - Glass Slimming 2013

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Triple i - The key to your success

It s Time for 300mm Prime

Wah Lee Industrial Corp. was founded in In order to integrate the industry development of Taiwan with the overall operation requirement of Wah

3D ICs: Recent Advances in the Industry

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

DuPont Reflections on Photovoltaics

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

Fabricating 2.5D, 3D, 5.5D Devices

Lithography Industry Collaborations

ISMI Industry Productivity Driver

ACCELERATING THE FUTURE OF SEMICONDUCTORS

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Thermal Management in the 3D-SiP World of the Future

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

State-of-The-Art Dielectric Etch Technology

Application-Based Opportunities for Reused Fab Lines

Thin Film Inductors: World Markets, Technologies & Opportuni<es: FY

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor

Yole Developpement. Developpement-v2585/ Publisher Sample

PERPENDICULAR FILM HEAD PROCESSING PERSPECTIVES FOR AREAL DENSITY INCREASES

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

HOW TO CONTINUE COST SCALING. Hans Lebon

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

LSI ON GLASS SUBSTRATES

Innovation to Advance Moore s Law Requires Core Technology Revolution

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

MAPPER: High throughput Maskless Lithography

Advancing Industry Productivity

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

The Future of Packaging ~ Advanced System Integration

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

San Diego, CA, June 11 to 14, 2006

Lecture Introduction

Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M 512 Megabit DDR2 SDRAM Structural Analysis

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

Update: SOI Wafer Market Continues Its Growth

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise

Enabling Breakthroughs In Technology

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Processes for Flexible Electronic Systems

Variation-Aware Design for Nanometer Generation LSI

Fraunhofer IZM Workshop November 25, 2002 Thin Semiconductor Devices

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

EECS130 Integrated Circuit Devices

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Lecture 0: Introduction

Complex Precision Machined Components and Assemblies for the Semiconductor Equipment, Medical Device and Aerospace Industries

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology

Envisioning the Future of Optoelectronic Interconnects:

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Transcription:

IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING

Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers for 450 3. Conclusions LINX CONSULTING 2

The Value We Bring To Clients 1. We create knowledge and develop unique insights at the intersection of advanced thin film processes and the chemicals industry 2. We help our clients to succeed through our: Experience in global electronics and advanced materials and thin film processing industries: Semi LCD PV Experience in the global chemicals industry Experience at Device Producers Experience at OEMs Packaging Global network and capabilities Advanced modeling capabilities Nano Technology Other LINX CONSULTING 3

WE PROVIDE HIGH CONFIDENCE DECISION SUPPORT SERVICES SINGLE CLIENT PLANNING Business Analysis M&A / Due Diligence Diversification / Expansion Planning IDEAS TO MARKET IP Development Value Chain Analysis Technology Assessment and Commercialization SINGLE CLIENT SERVICES OPERATIONS Cost Benchmarking Competitive Intelligence COO Models and Assessment Process Technology Assessment MARKETING & SALES Market Analysis/Monitoring Market Forecasting and Modeling Competitive Intelligence Customer Perceptions LINX CONSULTING 4

Analysis Reports - Services Offered CURRENTLY AVAILABLE INDUSTRY ANALYSIS REPORTS 1. CMP Technologies and Markets 2. Advanced Thin Films for FEOL and BEOL Applications 3. Advanced Materials and Chemicals for Photovoltaic Cells and Modules 4. Emerging Materials Opportunities for Advanced Semiconductor Devices 5. Advanced Cleaning and Surface Preparation: Technologies and Markets 6. Opportunities in Imprint Lithography 7. Specialty Abrasives in CMP 8. Advanced Patterning 9. Semiconductor Industry Direct Consumables Model LINX CONSULTING 5

CMP Outlook/Drivers for 450 LINX CONSULTING 6

CMP Growth Remains Strong Thousands of unit operations 14% Long Term CAGR Source: Linx Consulting LINX CONSULTING 7

CMP Tool Base - Current Source: Linx Consulting and Gartner LINX CONSULTING 8

New Industry Structure, 2014 Business model changes required / desirable? WSPY, K 40,000 The new Silicon Valley 30,000 20,000 10,000 0 >1 1 0.8 0.5 0.35 0.25 0.18 0.13 0.045 0.032 0.09 0.065 Discrete Analog Logic Adv Logic NOR NAND DRAM Notes: 1. Bottom axis is on a percentage basis 2. Size of box is proportional to # wafer starts 3. Source: Semico and Linx estimates Materials innovations required LINX CONSULTING 9

New Industry Structure, 2018 WSPY, K 40,000 Silicon Valley extends 30,000 20,000 10,000 0 >1 1 0.8 0.5 0.35 0.25 0.18 0.13 0.016 0.012 0.045 0.09 0.065 Discrete Analog Logic Adv Logic NOR NAND DRAM Notes: 1. Bottom axis is on a percentage basis 2. Size of box is proportional to # wafer starts 3. Source: Semico and Linx estimates LINX CONSULTING 10

CMP Industry Structure, 2018 WSPY, K 20,000 15,000 12,000 10,000 5,000 0 0.25 0.18 0.13 0.032 0.022 0.016 0.012 0.045 0.065 0.09 Discrete Analog Logic Adv Logic NOR NAND DRAM Notes: 1. Bottom axis is on a percentage basis 2. Size of box is proportional to # wafer starts 3. Source: Semico and Linx estimates LINX CONSULTING 11

CMP Wafer Distribution in 2018 LINX CONSULTING 12

Opportunities for CMP Keep on Increasing Application CMP Enabling Aspect Potential Challenges FUSI RMG Novel FUSI MG CMP used to expose p and n gates independently Enables differential silicidation of poly-si gate Inadvertent exposure of opposing gate Requires CMP of dissimilar materials FINFET devices Planarization of Poly PolySi thickness variations result in patterning problems FINFET devices Damascene approach to FINFET formation Thickness variation caused by CMP 3D Chip Stacking Oxide CMP post Cu CMP Smearing Cu bumps 3D Stacked NAND 3D integration Ultra flat topography required to layer Novel Memory Ferroelectric Media CMP eliminates roughness of ferroelectric material Device layer thickness control PCM Planarize PC element Thickness control of small device region Reference: Chemical Mechanical Polish: The Enabling Technology, Steigerwald LINX CONSULTING 13

Wafer Costs Keep Increasing Processing costs increase over the next five years as follows: DRAM 59% MPU 50% NAND 34% Although some of this can be blamed on the costs associated with double, triple and quadruple patterning, device producers will still have problems passing these increases along Source: IC Knowledge LINX CONSULTING 14

CMP Tool Base Extended View Source: Linx Consulting, IC Knowledge and Gartner LINX CONSULTING 15

Tool Distribution, 2020 Tools by Wafer Size 450mm 200mm 200mm 300mm 450mm 300mm LINX CONSULTING 16

450mm Trends Trends Impacting Consumable Suppliers: Leading platforms are looking at 42 pads Trends Impacting Tool Suppliers: Early tool development efforts are targeting 2015/2016 for HVM Pad composition is relatively similar between 300mm (30 ) and 450mm applications The number of zones per head may increase upwards from 5 zones for better control of uniformity Slurry composition is also expected to be relatively stable with conversion to 450mm Possible move from single point to multi-point dispensing systems to ensure uniformity of slurry distribution 450mm wafer is ~ 900 to 925 microns thickness; where as the 300mm wafer is 775 microns. This increases wafer bowing Potential within wafer variations may lead to multi-point endpoint detection ROI/NPV concerns LINX CONSULTING 17

450mm Trends Trends Impacting End-users: Greater emphasis on truly collaborative driven solutions will be required due to increased development expense Not all semiconductor producers can or will invest in 450mm technology Transition to 450mm will necessarily limit the supplier base over time, as not all suppliers can afford investment or will be selected to for PORs. In addition, suppliers need large volumes for learning curve driven cost reductions LINX CONSULTING 18

Conclusions 200mm is set and done -> work toward productivity improvements 300mm still has a long way to go Wok toward productivity improvements Work towards innovation 450mm is coming, but is still a way off Be sure to place bets at an appropriate time and not too early Select the right partnerships 450mm may impact supplier selection criteria (need fewer, large suppliers) and supplier industry structure Collaborations and consortia become more important LINX CONSULTING 19

Acknowledgements Thanks to: Scott Jones, IC Knowledge Dean Freeman, Gartner LINX CONSULTING 20

QUESTIONS? Please feel free to contact us: Mike Corbett mcorbett@linx-consulting.com +1 973 698 2331 www.linx-consulting.com LINX CONSULTING 21