Present Status and Future Prospects of EUV Lithography

Similar documents
Scaling of Semiconductor Integrated Circuits and EUV Lithography

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

(Complementary E-Beam Lithography)

EUVL getting ready for volume introduction

アルゴリズムの設計と解析. 教授 : 黄潤和 (W4022) SA: 広野史明 (A4/A8)

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

The future of lithography and its impact on design

Intermediate Conversation Material #10

Mask Technology Development in Extreme-Ultraviolet Lithography

EUV lithography: today and tomorrow

EUVL: Challenges to Manufacturing Insertion

Lesson 5 What The Last Supper Tells Us

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Limitations and Challenges to Meet Moore's Law

Omochi rabbit amigurumi pattern

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Decisions in games Minimax algorithm α-β algorithm Tic-Tac-Toe game

Title inside of Narrow Hole by Needle-Typ. Issue Date Journal Article. Text version author.

Holistic View of Lithography for Double Patterning. Skip Miller ASML

2009 International Workshop on EUV Lithography

From ArF Immersion to EUV Lithography

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Novel EUV Resist Development for Sub-14nm Half Pitch

特集 米国におけるコンシューマ向けブロードバンド衛星サービスの現状

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Advanced Patterning Techniques for 22nm HP and beyond

D80 を使用したオペレーション GSL システム周波数特性 アンプコントローラー設定. Arc 及びLine 設定ラインアレイスピーカーを2 から7 までの傾斜角度に湾曲したアレイセクションで使用する場合 Arcモードを用います Lineモード

Enabling Semiconductor Innovation and Growth

Multi-Band CMOS Low Noise Amplifiers Utilizing Transformers

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

EUV Supporting Moore s Law

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Update on 193nm immersion exposure tool

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Newer process technology (since 1999) includes :

Status and challenges of EUV Lithography

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Optics for EUV Lithography

Lithography Industry Collaborations

Navy Gray Navy Brown hel-905 Small Dot Silk Knit Tie Silk100% price:6,800

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Season 15: GRAND FINAL PLAYER GUIDE. ver.2019/1/10

Litho Metrology. Program

Delivering Business Outcomes

TED コーパスを使った プレゼンにおける効果的な 英語表現の抽出

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

EUVL: Challenges to Manufacturing Insertion

相関語句 ( 定型のようになっている語句 ) の表現 1. A is to B what C is to D. A と B の関係は C と D の関係に等しい Leaves are to the plant what lungs are to the animal.

Progresses in NIL Template Fabrication Naoya Hayashi

Intel Technology Journal

Lithography. International SEMATECH: A Focus on the Photomask Industry

Keio University Global Innovator Accelera6on Program 2015 Day 7 Design Process Exercise

Lithography on the Edge

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

EUVL Challenges for Next Generation Devices

Practical Information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Supporting Communications in Global Networks. Kevin Duh & 歐陽靖民

Installation Manual WIND TRANSDUCER

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Two-Tone Signal Generation for Communication Application ADC Testing

Scope and Limit of Lithography to the End of Moore s Law

EUV Actinic Blank Inspection Tool Development

State-of-the-art device fabrication techniques

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Ansible 紹介 R&Dセンター OSS 戦略企画室 OSS 技術第二課角馬文彦 本文中の会社名 商品名は 各社の商標及び登録商標です

Title of the body. Citation. Issue Date Conference Paper. Text version author. Right

National Projects on Semiconductor in NEDO

レーダー流星ヘッドエコー DB 作成グループ (murmhed at nipr.ac.jp) 本規定は レーダー流星ヘッドエコー DB 作成グループの作成した MU レーダー流星ヘッド エコーデータベース ( 以下 本データベース ) の利用方法を定めるものである

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

U N I T. 1. What are Maxine and Debbie talking about? They are talking about. 2. What doesn t Maxine like? She doesn t like. 3. What is a shame?

Nikon Medium Term Management Plan

CER7027B / CER7032B / CER7042B / CER7042BA / CER7052B CER8042B / CER8065B CER1042B / CER1065B CER1242B / CER1257B / CER1277B

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Beyond Immersion Patterning Enablers for the Next Decade

Challenges of EUV masks and preliminary evaluation

Imaging for the next decade

The seven pillars of Data Science

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

L1 Cultures Go Around the World

Toward 5nm node ; Untoward Scaling with Multi-patterning

Simple Utility-Interactive Photovoltaic Power Conditioners Installed in Veranda of Apartment Houses

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

XG PARAMETER CHANGE TABLE

High-NA EUV lithography enabling Moore s law in the next decade

Nikon EUVL Development Progress Update

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Transcription:

3rd EUV-FEL Workshop Present Status and Future Prospects of EUV Lithography (EUV リソグラフィーの現状と将来展望 ) December 11, 2011 Evolving nano process Infrastructure Development Center, Inc. (EIDEC) Hidemi Ishiuchi 1

Outline Scaling Trend: End of Moore s Law? Present Status of EUV Lithography Challenges in EUV Lithography Mass Production with EUV Lithography Summary 2

IRDS Lithography Roadmap YEAR OF PRODUCTION 2017 2019 2021 2024 2027 2030 2033 DRAM DRAM minimum ½ pitch (nm) 18 17.5 17.0 14.0 11.0 8.4 7.7 Flash 2D Flash ½ pitch (nm) (un-contacted poly) 15 15 15 15 15 15 15 3D NAND minimum array 1/2 pitch (nm) 80 80 80 <80 <80 <80 <80 MPU / Logic Logic industry "Node Range" Labeling (nm) "10" "7" "5" "3" "2.1" "1.5" "1.0" MPU/ASIC Minimum Metal ½ pitch (nm) 18.0 14.0 12.0 10.5 7.0 7.0 7.0 Physical Gate Length for HP Logic (nm) 20 18 16 14 12 12 12 No scaling needed after2027 or 2030? DRAM still shrinking Flash is no longer a driver for high resolution patterning Logic is driving patterning Lateral Gate All Around (LGAA) 1/2 pitch 12.0 10.5 9.0 Vertical Gate All Around (VGAA) half pitch (nm) 7.0 7.0 Vertical GAA Diameter (nm) 6.0 6.0 Chip size (mm 2 ) Maximum exposure field height (mm) 26 26 26 26 26 26 26 Maximum exposure field length, i.e. scanning direction (mm) 33 33 33 16.5 16.5 16.5 16.5 Maximum field area printed by exposure tool (mm 2 ) 858 858 858 429 429 429 429 Small holes for VGAA High-NA(0.55) EUV exposure tool with a reduced field size: 858 mm 2 429mm 2 Source: IRDS 2017 Edition, Lithography, Table LITH-1 (2018) Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known 3

3D Cell Arrays of NAND Flash Memories Charge Trap Cell (Samsung) Floating Gate Cell (intel / Micron) 4

Contact hole pattern in DRAM EUV single exposure process can be applied below D1z node or beyond. Source: Mijuing Lim et al., EUV contact-hole local CD uniformity optimization for DRAM storage node application, SPIE Advanced Lithography, 2018; Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 105830X (1 May 2018); doi: 10.1117/12.2299322 5

More Complex MOSFET Structure (ITRS 2015) 6

Multiple Patterning with ArF immersion tools Source: ITRS 2013 Edition, Lithography, Figure LITH2 7

EUV vs ArF immersion: process complexity Source:http://staticwww.asml.com/doclib/investor/investor_day/asml_20161031_04_I nvestor_day_2016_euv_and_its_business_opportunity_hmeiling.pdf 8

ASML NXE:3400 System Source: ASML Homepage, Image Library, https://www.asml.com/press/image-library/en/s44169 9

EUV Source Power Source: Roderik van Es et al., EUV for HVM: towards and industrialized scanner for HVM NXE3400B performance update, SPIE Advanced Lithography, 2018 10

High-NA projection optics Source: J. van School et al., High-NA EUV Lithography enabling Moore s law in the next decade, SPIE Photomask Technology + EUV Lithography, 2017 11

High-NA Surface Metrology Source: J. van School et al., High-NA EUV Lithography enabling Moore s law in the next decade, SPIE Photomask Technology + EUV Lithography, 2017 12

7 nm Technologies in IEDM 2016 IBM, GLOBALFOUNDRIES, and Samsung: Poly Si (contacted): 44nm / 48 nm pitch (ArF-i) Metal interconnect: 36nm pitch (EUV) EUV lithography for Metal Interconnect TSMC: SRAM cell size: 0.027 um 2 Poly Si (contacted):?? nm pitch Metal interconnect:?? nm pitch ArF immersion (ArF-i) lithography (R&D with EUV Lithography, too) Ref: IEDM Technical Digest, 2016, Dec., 2016 13

IEDM 2016, #2.7 IBM/GF/Samsung Source: R. Xie, et al, A 7nm FinFET Technology Featuring EUV Patterning and Dual Strained High Mobility Channels, IEDM Technical Digest, pp.47-50, pp, 2016 14

IEDM 2016, #2.7 IBM/GF/Samsung Source: R. Xie, et al, A 7nm FinFET Technology Featuring EUV Patterning and Dual Strained High Mobility Channels, IEDM Technical Digest, pp.47-50, pp, 2016 15

EUV Infrastructure Readiness Source: https://staticwww.asml.com/doclib/investor/presentations/2018/asml_20180314_2018-03-14_baml_taiwan_march_2018_final.pdf 16

Reticle Front-Side Defects Source: A. Yen, Continued Scaling in Semiconductor Manufacturing with EUV Lithography, 2018 EUVL Workshop, 2018 17

Defect Performance on EUV Scanners Source: Roderik van Es et al., EUV for HVM: towards and industrialized scanner for HVM NXE3400B performance update, SPIE Advanced Lithography, 2018 18

Pellicle for EUV Mask Source: Roderik van Es et al., EUV for HVM: towards and industrialized scanner for HVM NXE3400B performance update, SPIE Advanced Lithography, 2018 19

Lasertec s Mask Inspection Tools Source: Lasertec Corporation, First Half of Fiscal Year ending June 2018 Financial Results, Feb. 7, 2018 http://v4.eir-parts.net/documenttemp/20181018_015008987_djhaj255e3zsh0nchg2znof5_0.pdf 20

Stochastic Effects in EUV Lithography Source: P. De Bisshop and E. Hendrickx, Stochastic effects in EUV lithography, SPIE Advanced Lithography, 2018. Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 105831K, doi: 10.1117/12.2300541 21

Stochastic Effects in EUV Lithography Source: P. De Bisshop and E. Hendrickx, Stochastic effects in EUV lithography, SPIE Advanced Lithography, 2018. Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 105831K, doi: 10.1117/12.2300541 22

EUV-FEL (Free Electron Laser) Source: H. Kawata, Strategy to realize the EUV-FEL high power light source, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 24, 2016 23

Key Challenges 24

EUV Focus Areas Source: Closing Address, SPIE Photomask Technology + EUV Lithography 2018, Monterey, U.S.A., Sept. 20, 2018 25

EUV Focus Areas Source: Closing Address, SPIE Photomask Technology + EUV Lithography 2018, Monterey, U.S.A., Sept. 20, 2018 26

EUV Focus Areas Source: Closing Address, SPIE Photomask Technology + EUV Lithography 2018, Monterey, U.S.A., Sept. 20, 2018 27

EUV Focus Areas Source: Closing Address, SPIE Photomask Technology + EUV Lithography 2018, Monterey, U.S.A., Sept. 20, 2018 28

Mass production with EUV Source:http://staticwww.asml.com/doclib/investor/investor_day/asml_20161031_04 _Investor_Day_2016_EUV_and_its_Business_Opportunity_HMeiling.pdf 29

Mass Production with EUV: TSMC TSMC to Start 5nm Production in April 5 October 2018 SAN JOSE, Calif. TSMC taped out its first chip in a process making limited use of extreme ultraviolet lithography and will start risk production in April on a 5- nm node with full EUV. In process technology, TSMC announced that it taped out a customer chip in an N7+ node that can use EUV on up to four layers. Its N5 that will use EUV on up to 14 layers will be ready for risk production in April. EUV aims to lower costs by reducing the number of masks required for leading-edge designs. 2019 年 4 月に EUV による 5nm 世代のリスク生産を開始 N7+ 世代では EUV を 4 層まで利用可 N5 世代では EUV を 14 層まで利用可 Source: EE Times Asia https://www.eetasia.com/news/article/18100502- tsmc-to-start-5nm-production-in-april 30

Mass Production with EUV: Samsung Samsung Ramps 7nm EUV Chips 17 October 2018 SAN JOSE, Calif. The race is on to get the first chip made with extreme ultraviolet lithography out the foundry door. Samsung said it has taped out and is ramping multiple 7nm chips using EUV following a similar announcement earlier this month from its larger foundry rival TSMC. In its core memory business, Samsung said that it is sampling 256-GByte RDIMMs made with its 16-Gbit chips. The chips are made in a 1y-nm process first described a year ago. It was not clear whether EUV is being applied to the 1y process. However, follow-on 1z and 1a nodes will increasingly use EUV, suggested Samsung s head of DRAM development, Seong Jin Jang, in a talk here. Source: EE Times https://www.eetimes.com/document.asp?doc_id=1333881 EUV を使って複数の 7nm( ロジック ) チップの量産開始 1y 世代の 16Gbit DRAM チップによる 256GByte RDIMM* をサンプリング中 この世代で EUV が使われているかどうかはわからない 1z と 1a 世代の DRAM では EUV の利用が増えると発言 * RDIMM: registered dual in-line memory module の略 Registered Buffer を内臓したメモリモジュール サーバなどで使われる 31

Summary EUV source power of 250W has been achieved. Key challenges in EUV Lithography are pellicle, actinic pattern inspection, stochastics, etc. EUV lithography will be used in mass production tool for 7nm or 5nm logic products and beyond. EUV-FEL is a possible solution as an EUV source with higher average power than 1 kw. Its cost of ownership, peak power, coherence of the EUV-FEL source might be the potential problems to be solved 32

Glossary CD: DP: DSA: EUVL: LER: LWR: ML: NA: IRDS: ITRS: SADP: SAQP: QP: SP: Critical Dimension Double Patterning Directed Self Assembly Extreme Ultraviolet Lithography Line Edge Roughness Line Width Roughness Maskless Lithography Numerical Aperture International Roadmap for Devices and Systems International Technology Roadmap for Semiconductors Self Aligned Double Patterning Self Aligned Quadruple Patterning Quadruple Patterning Quadruple Patterning 33