A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

Similar documents
A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

Circuit Design for a 2.2 GByte/s Memory Interface

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN

ENERGY-EFFICIENT I/O INTERFACE DESIGN WITH ADAPTIVE POWER-SUPPLY REGULATION ADISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

REDUCING power dissipation in digital integrated circuits

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

A 16Ω Audio Amplifier with 93.8 mw Peak loadpower and 1.43 quiscent power consumption

Design of DC-DC Boost Converter in CMOS 0.18µm Technology

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Lecture 11: Clocking

THE SELF-BIAS PLL IN STANDARD CMOS

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

A new class AB folded-cascode operational amplifier

ALTHOUGH zero-if and low-if architectures have been

A 3-10GHz Ultra-Wideband Pulser

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP

Low-Power Digital CMOS Design: A Survey

20Gb/s 0.13um CMOS Serial Link

An Analog Phase-Locked Loop

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

High Voltage Operational Amplifiers in SOI Technology

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

Analysis and Design of High Speed Low Power Comparator in ADC

NEW WIRELESS applications are emerging where

Advanced Operational Amplifiers

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

ECEN620: Network Theory Broadband Circuit Design Fall 2012

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

REDUCING power consumption and enhancing energy

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

EE 501 Lab 4 Design of two stage op amp with miller compensation

EVALUATION KIT AVAILABLE 28V, PWM, Step-Up DC-DC Converter PART V IN 3V TO 28V

Contents 1 Introduction 2 MOS Fabrication Technology

DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Integrated Circuit Design for High-Speed Frequency Synthesis

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

UNIT-II LOW POWER VLSI DESIGN APPROACHES

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology

/$ IEEE

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

ECEN 720 High-Speed Links: Circuits and Systems

A High-Resolution Dual-Loop Digital DLL

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

AN increasing number of video and communication applications

THE GROWTH of the portable electronics industry has

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers

NOWADAYS, multistage amplifiers are growing in demand

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower

Practical Testing Techniques For Modern Control Loops

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

AS INTEGRATED circuit fabrication technologies

5Gbps Serial Link Transmitter with Pre-emphasis

ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II)

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

To learn fundamentals of high speed I/O link equalization techniques.

Design of High Performance PLL using Process,Temperature Compensated VCO

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

An Analog CMOS Double-Edge Multi-Phase Low- Latency Pulse Width Modulator

LM2900 LM3900 LM3301 Quad Amplifiers

SERIALIZED data transmission systems are usually

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Increasing Performance Requirements and Tightening Cost Constraints

Delay-based clock generator with edge transmission and reset

Experiment 1: Amplifier Characterization Spring 2019

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DRIVEN by the growing demand of battery-operated

ECEN 474/704 Lab 8: Two-Stage Miller Operational Amplifier

ECEN720: High-Speed Links Circuits and Systems Spring 2017

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Universal Input Switchmode Controller

Transcription:

WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford University, Stanford, CA 1 also with Rambus Inc., Mountain View, CA Adaptive power supply regulation reduces power dissipation in DSP and microprocessor cores [1,2]. A technique extends this concept to a high-performance parallel input/output (I/O) interface. An inverter, used as the basic delay element in the core of a dualloop delay-locked loop (DLL), has delay controlled by the supply voltage [3]. This control voltage is replicated by a high-efficiency switching supply to power the rest of the interface and to maximize energy-efficient operation. Figure 17.6.1 presents a detailed diagram of the dual-loop DLL and an adaptive power supply regulator. The core loop locks the delay through the delay line to half a reference clock period, which is equal to the TX_clk period, by adjusting its supply voltage [3]. A unity gain amplifier buffers the charge pump voltage to drive the delay-line, and an efficient switching regulator replicates this voltage to the rest of the system. To align the on-chip receiver clocks with the incoming data, a finite state machine (FSM), embedded in the peripheral loop of the DLL, controls multiplexors that select adjacent pairs of evenly spaced edges from the core loop. From those edges, digital interpolators generate quadrature phased clock edges [3]. Adjusting the relative drive strength between two sets of tristate inverters enables variable-weight interpolation. A phasedetecting receiver in the feedback path of the peripheral loop optimally places the receive clock by cancelling out the data receiver set-up time. A performance limitation of this adaptive regulation technique may arise from the supply ripple induced by the switching regulator, which could cause additional timing jitter. Since the peripheral loop responds with a slew rate higher than the slew rate of the induced power supply ripple, however, the end-to-end receiver timing margin of the link, measured with and without supply ripple, confirms that the implemented DLL design completely compensates for this potential source of jitter. The transmitter consists of a pmos current-mode driver with a digitally configurable, parallel set of binary-weighted nmos termination transistors (Figure 17.6.2). All swings are referenced to ground to enable communication between chips with different supply voltages. Parallel binary-weighted transmitter legs in the pmos current source adjust output swing magnitude and compensate for lower output currents due to a reduction in gate overdrive at lower supply voltages. Since the transmitter and preceding circuitry in the signal path all operate off of the regulated supply and gate delays track the DLL inverter delay, delays and transition times of edges remain a fixed percentage of the cycle, providing automatic slew rate control over a wide range of operating frequencies. The transmitters can be configured in single-ended mode by transmitting a reference voltage along with the single-ended signals or as pseudo-differential pairs that transmit complementary signals. A preamplifier followed by a regenerative latch, shown in Figure 17.6.2, receives the transmitted data. All components of the receiver operate off of the regulated supply, and a replica self-biasing scheme adjusts the preamplifier current to ensure its swing remains a fixed percentage of the supply [4]. Receiver bandwidth is set by the product of the output impedance (1/g m ) of the supplyconnected nmos loads and the capacitive loading on outputs a and a. Since the transconductance (g m ) of the loads tracks the g m of an inverter across voltage, the bandwidth of the preamplifier tracks an inverter delay to first order, and hence the bit rate. Setting the preamplifier bandwidth close to the clock rate of the incoming data filters out unwanted high frequency noise. A pair of nmos gates configures the preamplifier to receive either differential signals or a single-ended signal with a reference shared by all the receivers. The I/O interface is fabricated in a MOSIS 0.35µm process. The prototype die micrograph is shown in Figure 17.6.3 and performance is summarized in Figure 17.6.4. The test chip consists of four parallel sets of data receiver and transmitter pairs and one clock link. 8b data sequence generators and a 20b pseudo-random bit sequence (PRBS) generator and verifier test functionality and performance of the links. A digital PID controller matches the regulated supply to the input control voltage through on-chip power transistors and an off-chip inductor and capacitor pair that form a buck converter [1]. A combination of shifters, adders, and latches implement the PID control functions on binary representations of the control and regulated voltages, as shown in Figure 17.6.5. Effective A/D conversion is achieved by counting pulses out of a long delay chain ring operating at the control voltage over a fixed period to generate the higher-order bits of the digital output. Detecting how far an edge has propagated through the delay chain by reading out its contents at the end of each period determines the lower-order bits [2]. The D/A conversion from the PID output to a pulse width modulated (PWM) square wave input to the buck employs a similar mechanism. This technique improves resolution of the A/D and D/A to a fraction of a ring-oscillator period and significantly reduces switching activity to only consume 1.5mW of total overhead power. The I/O interface successfully operates over a frequency range from 200Mb/s to 1Gb/s, with regulated voltages ranging from 1.2V to 3.2V. Figure 17.6.6 plots the total regulated power consumed per link operating in differential and single-ended configurations versus clock frequency and presents the power savings potential of adaptive supply regulation. It also shows that single-ended transmitters require more than double the swing, and hence consume higher power than differential transmitters which require a pair of links. A breakdown of the power consumed by the test chip in Figure 17.6.7 shows that the CV 2 f power of the clock distribution, test logic, and DLL dominates. Therefore, considerable energy savings is possible when operating at lower speeds. Figure 17.6.8 plots an eye diagram of a PRBS transmitted at 0.8Gb/s. The transmitters are designed with rising and falling transitions that each consume approximately 30% of the cycle time. The figure also lists transition times as a percentage of the cycle time versus bit rate, showing the automatic slew rate control enabled by adaptive regulation of the supply with frequency. References: [1] Wei, G., M. Horowitz, A Fully Digital, Energy Efficient, Adaptive Powersupply Regulator, IEEE JSSC, pp. 520-528, April 1999. [2] Chandrakasan, A., et al., Data Driven Signal Processing: An Approach for Energy Efficient Computing, IEEE ISLPED, pp. 347-352, Aug. 1996. [3] Sidiropoulos, S., M. Horowitz, A Semidigital Dual Delay-locked Loop, IEEE JSSC, pp. 1683-1692, Nov. 1997. [4] Johnson, M. Bias Circuit and Differential Amplifier having Stabilized Output Swing, US Patent 5452898, Sep. 1995. Figure 17.6.4: Test chip performance summary.

Figure 17.6.1: Dual-loop DLL and adaptive power supply regulator. Figure 17.6.2: pmos current mode transmitter and frequency tracking receiver. Figure 17.6.5: Digitally-controlled power supply regulator. Figure 17.6.3: Test chip micrograph. Figure 17.6.7: Total I/O power breakdown at 0.8Gb/s and 2.7V regulated supply. Figure 17.6.6: Regulated power per link and minimum swing vs. frequency. Overhead represents average power consumed by the DLL, clock distribution and test circuitry amortized across 5 links. Figure 17.6.8: Data-eye diagram and slew rate vs. bit rate.

Figure 17.6.1: Dual-loop DLL and adaptive power supply regulator.

Figure 17.6.2: pmos current mode transmitter and frequency tracking receiver.

Figure 17.6.3: Test chip micrograph.

Figure 17.6.4: Test chip performance summary.

Figure 17.6.5: Digitally-controlled power supply regulator.

Figure 17.6.6: Regulated power per link and minimum swing vs. frequency. Overhead represents average power consumed by the DLL, clock distribution and test circuitry amortized across 5 links.

Figure 17.6.7: Total I/O power breakdown at 0.8Gb/s and 2.7V regulated supply.

Figure 17.6.8: Data-eye diagram and slew rate vs. bit rate.