Signal Integrity Modeling and Measurement of TSV in 3D IC

Similar documents
Signal Integrity Design of TSV-Based 3D IC

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

/14/$ IEEE 470

Design and Modeling of Through-Silicon Vias for 3D Integration

2.5D & 3D Package Signal Integrity A Paradigm Shift

Flip-Chip for MM-Wave and Broadband Packaging

The 3D Silicon Leader

High Frequency Electrical Model of Through Wafer Via for 3-D Stacked Chip Packaging

Silicon Interposers enable high performance capacitors

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Application Note 5525

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

Power Distribution Status and Challenges

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

ECE 546 Introduction

Chapter 2. Literature Review

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Limiter Diodes Features Description Chip Dimensions Model DOT Diameter (Typ.) Chip Number St l Style Inches 4 11

Introduction to On-Wafer Characterization at Microwave Frequencies

Measurement Results for a High Throughput MCM

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Foundry WLSI Technology for Power Management System Integration

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Advanced Transmission Lines. Transmission Line 1

Through Glass Via (TGV) Technology for RF Applications

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers

Design of the Power Delivery System for Next Generation Gigahertz Packages

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications

3D Si Interposer Design and Electrical Performance Study

Effect of Aging on Power Integrity of Digital Integrated Circuits

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Synthesis of Optimal On-Chip Baluns

Student Research & Creative Works

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

Physical RF Circuit Techniques and Their Implications on Future Power Module and Power Electronic Design

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN?

The Future of Packaging ~ Advanced System Integration

License to Speed: Extreme Bandwidth Packaging

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

EVALUATION OF THE NEAR-FIELD INJECTION METHOD AT INTEGRATED CIRCUIT LEVEL

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D. Mobile:

Parallel vs. Serial Inter-plane communication using TSVs

Low Transistor Variability The Key to Energy Efficient ICs

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

Probing Techniques for Signal Performance Measurements in High Data Rate Testing

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

3D/SiP Advanced Packaging Symposium Session II: Wafer Level Integration & Processing April 29, 2008 Durham, NC

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design

Micro- & Nano-technologies pour applications hyperfréquence à Thales Research &Technology Afshin Ziaei, Sébastien Demoustier, Eric Minoux

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

High Speed Characterization Report

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining

Passive Device Characterization for 60-GHz CMOS Power Amplifiers

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

Chapter 7 Introduction to 3D Integration Technology using TSV

CHAPTER 4. Practical Design

IEEE CX4 Quantitative Analysis of Return-Loss

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Taking the Mystery out of Signal Integrity

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

PRODUCT DATASHEET CGY2144UH/C2. DC-54GHz, Medium Gain Broadband Amplifier DESCRIPTION FEATURES APPLICATIONS. 43 Gb/s OC-768 Receiver

VITESSE SEMICONDUCTOR CORPORATION. Bandwidth (MHz) VSC

MICROPROCESSOR TECHNOLOGY

Deep Trench Capacitors for Switched Capacitor Voltage Converters

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

Understanding, measuring, and reducing output noise in DC/DC switching regulators

New Wave SiP solution for Power

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

Introduction: Planar Transmission Lines

PAGE 2 OF 20 HUTCHINSON EXHIBIT 1010 Question #1 Can current interconnect technology support the push towards 3Gb/s internal data rates? Answer #1 YES

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs

Cellular Antenna Switches for Multimode Applications Based on a Silicon-On-Insulator (S-O-I) Technology

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST)

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

PDN Probes. P2100A/P2101A Data Sheet. 1-Port and 2-Port 50 ohm Passive Probes

Compact Solar Cell Ultra-Wideband Dipole Antenna

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Transcription:

Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1

Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel bandwidth, ISI and Equalization 4) Power integrity Design 5) Future TSV and Interposer Structure 6) Summary 2

Semiconductor Requirements for Smart Mobile Applications - Low power - High performance - Multi-function - Small size - Low cost 3

Mobile Application with Increasing I/O count and Bandwidth Bandwidth 50 GB/s Target on Mobile Applications! Wide I/O Ultra book 12.8 GB/s Wide I/O 6.4 GB/s iphone4 Galaxy S2 ipad2 Galaxy S3 iphone5 iphonex LPDDR3 ipadx 4.3 GB/s LPDDR2 3.2 GB/s LPDDR1 Bus width (IO count) Bus width (IO count) 4

Why Interposer in Mobile Application? Mobile application requires Faster computing Higher resolution display Longer battery life Compact size Memory Memory Memory Memory Large number of I/O count 10K Low power consumption reduced more than 50 % Short interconnect length hundreds of µm order TSV availability Dense routability Application processor Silicon Interposer Package 5

Low Power TB/s Bandwidth 3D IC Structure TB/s Coaxial TSV Analog Chips TB/s Waveguide Interconnect Stacked Memories Wireless Power Transfer Receiver Chip Antenna Chip RF Chip TB/s Coaxial TSV Embedded Si-based Devices Embedded Passive& Filter Processor Silicon Interposer Package Substrate Radioactivity Sensor MEMS & Bio Sensor Innovative new vertical & horizontal Interconnections for TB/s Bandwidth in 3D IC Low Power system using WPT chip Silicon Interposer Embedded Passive / Active Devices 6

Key Technology : TSV (Through Silicon Via) 3 rd Chip (Thinned Substrate) Short Interconnection Reduced RC Delays Low Impedance for Power Distribution Network Low Power Consumption Heat Dissipation Through Via 2 nd Chip (Thinned Substrate) 1 st Chip Under fill Dielectric Dielectric Under fill Multi-level On-chip Interconnect SiO2 No Space Limitation for Interconnection High Density Chip Wiring No Limitation of I/O Number No Limitation of I/O Pitch Small Area Package Si-Substrate 3D TSV Stacked IC 7

Frequency-dependent Loss of Through Silicon Via Frequency dependent term 0-1 C insulator G Si sub Leakage current Cu SiO 2 Si Insertion loss (db) -2-3 -4-5 Capacitive region Resistive region Loss term -6 0.1 1 10 20 Frequency (GHz) 8

Analysis of a TSV Channel with Insulator Thickness of TSV Insulator thickness of TSV (t) Signal Top Ground Top 0-0.5 C Insulator =1.6 pf C Insulator =2.6 pf C Insulator /2 C Insulator /2 S21 magnitude (db) -1-1.5-2 -2.5 C Insulator =7.8 pf Insulator thickness of TSV Signal Bottom Leakage through silicon substrate dominantly increases due to lowered impedance with increased C insulator in region [A]. Insulator thickness dominantly affects frequency dependent loss of a TSV channel in region [A]. C Insulator /2 C Insulator /2 Leakage current Ground Bottom -3 [A] -3.5 0.1 1 10 Frequency (GHz) Equivalent circuit model ( t = 0.5um ) Equivalent circuit model ( t = 0.3um ) Equivalent circuit model ( t = 0.1um ) 20 9

TSV Depletion Phenomenon Depending on DC Bias Voltage V bias (V FB < V bias < V Th ) SiO 2 Copper Depletion region L TSV M O S contact R TSV C ox C ox C depl L TSV R TSV Silicon TSV model without considering depletion C TSV = C C ox ox C + C depl depl TSV has MOS structure depletion occurs depending on TSV bias voltage TSV capacitance decreases if depletion region is generated 10

Hysteresis of Depletion Capacitance Coupling Capacitance [ff] 1400 1300 1200 1100 1000 900 800 700 600 Hysteresis Start -3-2 -1 0 1 2 3 TSV dc bias voltage [V] Coupling capacitance increases as TSV dc bias decreases Coupling capacitance shows hysteresis, which means that capacitance varies depending on the previous TSV dc bias voltage 11

Frequency Domain Measurement Result -10 Noise Coupling Coefficient (db) -20-30 -40-50 -60 10M Noise coupling increases (1.5dB increase at 10MHz) as temperature increases Noise coupling decreases (3dB decrease at 1GHz) as temperature increases measurement at T=25ºC measurement at T=50ºC measurement at T=75ºC measurement at T=100ºC 100M 1G 10G Frequency (Hz) Measurement shows trend reversion between high frequency and low frequency. At very high frequency, noise coupling becomes similar although temperature varies 12

Eye Diagram of 4Gbps at 25 C and 100 C 500 Eye-height : 344 mv At 4Gb/s 25ºC =68.8% V At 4Gb/s 100ºC in (500mV) 500 Eye-height : 354 mv =70.8% V in (500mV) Voltage (mv) Voltage (mv) 0 Pk-pk jitter : 11 ps =4.4% UI 0 Pk-pk jitter : 11 ps =4.4% UI 0 125 250 375 500 Time (ps) 0 125 250 375 500 Time (ps) Eye height increases about 2% At 4Gbps, trend is reversed compared with low frequency region Jitter is almost same 13

Various Structures of TSV on Interposer ILD Organic Silicon Insulation layer Silicon < Normal TSV > < Through Silicon Line Via (TSLV) > Organic Organic Silicon Silicon < Through Organic Line Via (TOLV) > < Coaxial Organic Line Via (COLV) > 14

Measured Eye-diagrams at 30 Gbps (Coaxial TSV) Data rate = 30 Gbps 400 11.2 ps 400 8.3 ps Voltage (mv) 0 181 mv Voltage (mv) 0 245 mv -400 0 50 Time (ps) < Eye-diagram of TSV channel > -400 0 50 Time (ps) < Eye-diagram of Coaxial TSV channel > Eye-diagram of coaxial TSV channel is better than that of normal TSV channel 15

Glass Interposer and TGV < Double-sided Glass Interposer > < Double-sided Silicon Interposer > Glass substrate Silicon substrate Physical parameters TGV/TSV diameter: 10µm TGV/TSV pitch: 40µm, 100µm TSV oxide thickness: 0.5µm 16

Equivalent Circuit Model of a Through Glass Via (TGV) Signal Top Ground Top Physical parameters C top,imd G top,imd d TSV = 10 µm p TSV = 100 µm h TSV = 100 µm L TSV C Glass L TSV Extracted RLGC parameters R TSV Signal Bottom G Glass C bot,imd G bot,imd C polymer Ground Bottom R TSV C Glass = 9 ff G Glass = 100 kohm C polymer = 0.1 ff G polymer = 10 kohm C top,imd = 7.5 ff G top.imd = 10 MOhm R TSV = 3 mohm L TSV = 49 ph G polymer 17

Insertion Loss : TGV vs. TSV 0 TGV -0.06 db @ 20GHz Insertion Loss (db) -0.1-0.2 TSV -0.3-0.4 Through Silicon Via (TSV) Through Glass Via (TGV) -0.5 0.01 0.1 1 10 20 Frequency (GHz) Larger loss due to the lossy silicon substrate and thin SiO 2 liner of TSV -0.46 db @ 20GHz 18

Differential Signal TSV Baseline structure of a differential signal TSV (GSSG type) with Bumps Bump diameter Pitch Bump height Bump Bump Underfill Bump Bump IMD IMD height Ground TSV TSV Height Signal TSV Silicon Substrate Signal TSV TSV diameter Ground TSV Insulator thickness Bump Bump Underfill Bump Bottom oxide thickness Bump 19

Measured Coupled Voltage of a TSV Channel depending on Signaling <GSG-type> Clock (1V pp ) 20 < Coupled Noise voltage > Single-ended (GSG-type) vs. Differential (GSSG-type) 15 34mV <GSSG-type> Voltage [mv] 10 5 0-5 16mV Clock (0.5V pp ) Clock -10-15 -20 0 GSG-type single-ended signaling GSSG-type differential signaling 0.5 1 1.5 Time [ns] 2 Injected signal : 1GHz clock signal Using pulse-pattern generator (PPG) Digital cscilloscope : TDS8000B Even with the larger insertion loss, GSSG-type differential signal TSV has better noise immunity than GSG-type single-ended signal TSV 20

Various Structures of On-interposer Metal Lines Signal Ground ILD G S G ILD S G ILD G S G Interposer Insulation layer Interposer Insulation layer Interposer Insulation layer < Baseline structures Coplanar waveguide (Left), Microstrip (Center), and Strip (Right) lines Signal Ground On-interposer lines for wide I/O On-interposer lines for X-talk reduction < Examples of on-interposer metal lines > 21

Fabricated TSV Channel for Modeling and Analysis TSV Interposer Line 150um 20um Probing pads 20um < Top view > Length variation < Top view SEM picture > Oxide layer 0.3um 2000 / 3000 / 4000 um Silicon substrate Oxide layer Under-fill layer Dielectric layer Oxide layer Silicon substrate 50um 8um 10um 3um 0.3um 1um 44um 0.3um 300um Metal line TSV UBM < Side view > < Cross-sectional view SEM picture > 22

Verification of Proposed Method by Time-domain Measurement Test Vehicle A (Length = 500um) @ 10Gbps - Input voltage = 1V 0.6 6.1 ps (6.1% UI ) 0.6 14.9 ps (14.9% UI ) 0.5 0.5 0.4 0.4 Voltage (V) 0.3 0.2 0.330 V Voltage (V) 0.3 0.2 0.3 V 0.1 0.1 0 0-0.1 0 50 100 150 200 Time (ps) <Eye-diagram by using proposed method > <Eye-diagram by time-domain measurement > -0.1 0 50 100 150 200 Time (ps) Error rate of the estimated eye-diagram using the proposed method - Eye-opening voltage : 5.2% V p-p - Timing jitter : 8.8% UI 23

Verification of Proposed Method by Time-domain Measurement Test Vehicle B (Length = 4000um) @ 1Gbps - Input voltage = 1V 0.6 90.4 ps (9.0% UI ) 0.6 149.3 ps (14.9% UI ) 0.5 0.5 0.4 0.4 Voltage (V) 0.3 0.2 0.336 V Voltage (V) 0.3 0.2 0.28 V 0.1 0.1 0 0-0.1 0 0.4 0.8 1.2 1.6 2.0 Time (ns) -0.1 0 0.4 0.8 1.2 1.6 2.0 Time (ns) <Eye-diagram by using proposed method > <Eye-diagram by time-domain measurement > Error rate of the estimated eye-diagram using the proposed method - Eye-opening voltage : 11.2% V p-p - Timing jitter : 5.9% UI 24

Passive Equalizer at Interposer 10um 250um 2um 250um 750um 1250um M1 M2 10um 10um 4500um < Top view > Thicknesses of M1 / M2 = 0.7um / 0.7um Total length of stub = 500um, 1000um, 1500um Test pattern will be fabricated by MPW 104 th M/H 0.35um - Die out : 2011. 12. 20 25

Measured Eye-diagrams at 30 Gbps (Passive Equalizer) Data rate = 30 Gbps 250 250 Eye-diagram is closed 11.8 ps Voltage (mv) 0 Voltage (mv) 0 47 mv -250 0 50 Time (ps) < Eye-diagram without passive EQ. > -250 0 50 Time (ps) < Eye-diagram with passive EQ. > By using the passive equalizer, the eye-diagram at the data rate of 30 Gbps is improved 26

S 21 : Interposer Channel Loss: CPW S 21 (db) 0-0.2-0.4-0.6-0.8-1.0-1.2-1.4-1.6 0.1 1 10 Frequency (GHz) 20 Glass interposer (CPW, M1) Glass interposer (CPW, M2) Silicon interposer (CPW, M1) Silicon interposer (CPW, M2) <Simulated interconnect> - Type : Co-planar waveguide (CPW) - Length : 1 mm - width / space : 10 um / 10 um - Distance from M1 to Glass : 1 um 27

Insertion Loss Measurement of Glass Interposer 0.5dB loss at 20GHz, 6mm line Length 0-0.5 S 21 (db) -1-1.5 Z 0 : 59.6Ω (measurement) Z 0 : 57.5Ω (measurement) Type : CPW Length : 6000um G S G -2 < Signal/ground width/space > 25um/25um/25um -2.5 120um / 600um/20um 170um / 600um/25um -3 10-2 10-1 10 0 10 1 Frequency (GHz) < side view > 28

Eye-diagram of the Glass Channel (Via + Short line + Via) Glass via < Top view of glass channel > Glass < side view > - Glass via diameter: 60um - Glass line: GSG coplanar waveguide ( Width: 25um / space: 175um / length : 200um ) 1Gbps 5Gbps 10Gbp s Eye-diagram of glass channel (glass via + 200um line + glass via) is measured Because glass channel has a little loss, eye-diagram shape is almost determined by the cable ( 90cm high-frequency cable has -1.3dB insertion loss at 10GHz ) 29

Simultaneous Switching Noise (SSN) on Interposer and Problems caused by SSN Through-Silicon Via (TSV) Wide I/O (WIO) LPDDR LPDDR Application Processor (AP) 1Gb/s x512bit Silicon Interposer WIO WIO WIO WIO Package 1. Driver performance degradation by SSN Switching current I/O Drivers (512ea.) 2. SSN coupling Sig(4:6) PWR < lines on interposer > PWR GND Sig(1:3) GND 3. SSN transfer through interposer line < AP > < Wide I/O > 30

SEM Photos for TSV Connection Test and Physical Dimension Confirmation (2/2) (1) (2) (3) (4-A) (4-B) We confirmed all TSV connections and physical dimensions of the fabricated sample by SEM photos. 31

Modeling Method for Grid-type PDN based on a Segmentation Method Unit cell Unit cell Unit cell Unit cell Unit cell Unit cell Unit cell Unit cell Unit cell : Segmentation Method Once we have unit-cell models, we model the whole grid-type PDN by connecting all unit-cells that form the grid-type PDN based on a segmentation method. 32

Verification of TSV-based Stacked Grid-type PDN Model 10 3 PDN Impedance (Ω) 10 2 10 1 10 0 10-1 C PDN : Proposed model : Measurement : 3D EM simulation (CST MWS) L PDN loop 0.1 1 10 20 Frequency (GHz) PDN self impedance estimated from the proposed model is well-matched with the simulation result and measurement in the frequency range of 0.1 GHz to 20 GHz. 33

SEM Image of TSV-based DCSC IMD (Metal: 10 layer) TSV Capacito r 34

Comparison b/w measurement and simulation for PDN impedance (Z11) of the proposed TSV-based DCSC - VNA measurement using agilent E5071B - Freq. Range of VNA: 1M ~ 8GHz 100 PDN Impedance Z11 [ohm] 10 1 L_Decap ESL + TSV + PDN loop L Mode Resonanc e of Chip PDN : Simulation of TSV based DCSC (0.47uF X 4ea) : Measurement of TSV 0.1 based DCSC (0.47uF X 4ea) 1M 10M 100M 1G 10G Frequency [Hz] 35

Noise Coupling Paths in Stacked Dies using TSV: Non-ideal RCP P-Substrate 3 rd Chip Inductor TSV TSV TSV TSV N+ P+ P+ N+ N+ P+ N-Well 2 N+ P+ N-Well P-Substrate N+ N+ 3 P+ N+ Metal N-Well to Metal Coupling 2 nd Chip TSV to Active Circuit Coupling Inductor 1 TSV TSV TSV to TSV Coupling N+ P+ P+ N+ N+ P+ N-Well N+ P+ N-Well N+ N+ P+ N+ N-Well P-Substrate 1 st Chip 36

Analysis of Noise Coupling based on the 3D TLM Model conta ct C sub silicon substrate R sub C TSV TSV ILD/IM D Distance between contact and TSV : 100 μm Substrate height : 100 μm TSV diameter : 30 μm TSV SiO 2 thickness : 0.5 μm Coupling coefficient [db] -30-35 -40-45 -50-55 A B C TSV SiO2 capacitance dominant Silicon resistance dominant Silicon capacitance dominant -60 10M 100M 1G 10G Frequency [GHz] Coupling can be divided into 3-regions In region A, B, and C TSV SiO 2 capacitance, silicon resistance, silicon capacitance is the dominant factor to the coupling 37

The Shielding Effects of Active Circuit near TSVs Depletion region -30 t junction d keep Silicon substrate DNW contact G DNW Coupling G Noise coupling coefficient [db] -40-50 -60-70 -80 No DNW DNW (small contact) DNW (large contact) -90 10M 100M 1G 10G Frequency [Hz] S d DNW S DNW Silicon substrate Active circuit is simply modeled as DNW with keep out area from TSV (d keep ) Shielding effects of DNW is validated by 3D EMsimulation and results are shown 38

Two cases assumption Case 1 (NMOS No DNW) p+ n+ n+ p+ p- silicon substrate (σ 10 S/m) Case 2 (with σ 500 S/m DNW) p+ p+ p+ n+ Deep N well n- (σ 500 S/m) p- silicon substrate (σ 10 S/m) 39

The effect of distance between TSV and ground tie1-30 Noise coupling [db] -40-50 -60-70 100M 1G 10G Frequency [Hz] Case 2 : Combination of 10S/m Substrate and 500S/m higher conductivity DNW Case 1 : Only 10S/m substrate 40

Shielding TSV (bar, ring, fence) Signal TSVs Shielding GND TSVs <Bar type> <Ring type> <Fence type> Shielding TSVs can be formed in various way It roles as an blocking structure between Signal and Signal, PWR and PWR TSVs, and even analog and digital block 41

Conclusions (1) -2.5D architecture will be the most practical semiconductor integration solution for future low power and high-performance mobile platform - TSV and interconnections will be the critical interconnection structures in 2.5D IC. - Significant I/O power reduction and bandwidth increase can be achieved using the 2.5D architecture. - Special TSV structures, transmission line structures, and equalizers are needed to meet low power and high-speed data transmission requirements. 42

Conclusions (2) -I/O power noise suppression and hierarchical decoupling schemes are needed to suppress excessive I/O SSN noise - Noise coupling is becoming a crucial concern in 2.5D system, and appropriate shielding methods should be applied 43