Key Photolithographic Outputs

Similar documents
Using the Normalized Image Log-Slope, part 2

optical and photoresist effects

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Reducing Proximity Effects in Optical Lithography

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Optolith 2D Lithography Simulator

Process Optimization

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Lithographic Process Evaluation by CD-SEM

Photolithography II ( Part 2 )

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Synthesis of projection lithography for low k1 via interferometry

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Depth of Focus, part 2

OPC Rectification of Random Space Patterns in 193nm Lithography

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

DOE Project: Resist Characterization

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

MICROCHIP MANUFACTURING by S. Wolf

Optical Proximity Effects

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Optical Proximity Effects, part 2

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Flare compensation in EUV lithography

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

More on the Mask Error Enhancement Factor

Horizontal-Vertical (H-V) Bias

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1

Photolithography I ( Part 1 )

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

17th Annual Microelectronic Engineering Conference, May 1999

Optical Proximity Effects, part 3

MICRO AND NANOPROCESSING TECHNOLOGIES

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Lecture 5. Optical Lithography

Mirror-based pattern generation for maskless lithography

EE-527: MicroFabrication

Characterization of a Thick Copper Pillar Bump Process

Major Fabrication Steps in MOS Process Flow

(Ar [ Si O Si O] m )n

Double Exposure Using 193nm Negative Tone Photoresist

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Contrast Enhancement Materials CEM 365HR

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

What s So Hard About Lithography?

Understanding focus effects in submicrometer optical lithography: a review

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Microlens formation using heavily dyed photoresist in a single step

Exposure Dose Optimization for a Positive Resist Containing. Poly-functional Photoactive Compound. Chris A. Mack Shipley Company

16nm with 193nm Immersion Lithography and Double Exposure

Experimental measurement of photoresist modulation curves

Design Rules for Silicon Photonics Prototyping

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

Contrast Enhancement Materials CEM 365iS

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Pupil wavefront manipulation for optical nanolithography

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Part 5-1: Lithography

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Photolithography Technology and Application

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

Business Unit Electronic Materials

IIL Imaging Model, Grating-Based Analysis and Optimization

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Copyright 1999, Society of Photo-Optical Instrumentation Engineers This paper was published in Advances in Resist Technology and Processing XVI,

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

i- Line Photoresist Development: Replacement Evaluation of OiR

Large Area Interposer Lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

KMPR 1010 Process for Glass Wafers

Photoresist Absorbance and Bleaching Laboratory

Lecture 8. Microlithography

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar

Advanced Mix & Match Using a High NA i-line Scanner

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Transcription:

Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1

Exposure latitude:the range of exposure energies (usually expressed as a percent variation from the nominal) which keeps the linewidth within specified limits. Example:A minimum exposure latitude of 10% is needed for this process in order to get adequate CD control. % = [Exposure for low CD spec - Exposure for high CD spec]/ Exposure dose for Target CD DUV Photoresist FEM Photoresist linewidth CD (um) 1.18 1.17 1.16 1.15 1.14 1.13 1.12 1.11 1.0 1.1 9 1.0 8 1.0 7 1.0 6 1.0 5 1.0 4 1.0 3 1.0 2 1.0 1 0.99 1 0.98 0.97 0.96 0.95 0.94 0.93 0.92 0.91 0.9 0.89 0.88 0.87 0.86 0.85 0.84 0.83 0.82 0.81 0.8 0.79 0.78 0.77 0.76 0.75 Upper Spec CD Target CD Lower Spec CD y = -0.0381x + 1.5064 R 2 = 0.9915 10 10.5 11 11.5 12 12.5 13 13.5 14 14.5 15 15.5 16 16.5 17 17.5 18 18.5 19 Exposure Dose (mj/cm2) 2

Depth of Focus 200 nm spacewidth: Focus range for target CD +/- 10% DUV: UV6 ( 6275A) on SiON on Polysilicon 600 Spacewidth CD nm ( 1:1 200nm) 500 400 300 200 100 0-1 -0.9-0.8-0.7-0.6-0.5-0.4-0.3-0.2-0.1 0 0.1 0.2 0.3 0.4 0.5 Focus microns 8 mj/cm2 9 mj/cm2 10 mj/cm2 11 mj/cm2 12 mj/cm2 13 mj/cm2 14 mj/cm2 15 mj/cm2 16 mj/cm2 17 mj/cm2 18 mj/cm2 19 mj/cm2 20 mj/cm2 2 1 mj/cm2 22 mj/cm2 23 mj/cm2 24 mj/cm2 2 5 mj/cm2 3

Depth of Focus 250nm linewidth: Prolith setup with TARC ( JSR NFC- 540) and BARC ( SiON) 4

Depth of Focus 250nm linewidth: Focus range for target CD +/- 10% 248nm DUV UV6 with TARC/BARC 250nm L/S Photoresist CD nm ( 1:1=250nm) 300 295 290 285 280 275 270 265 260 255 250 245 240 235 230 best focus = -0.35u 225 220 215 210 205 200-0.9-0.8-0.7-0.6-0.5-0.4-0.3-0.2-0.1 0 0.1 0.2 0.3 0.4 5.5 mj/cm2 6 mj/cm2 6.5 mj/cm3 7 mj/cm2 7.5 mj/cm4 8 mj/cm2 8.5 mj/cm5 9 mj/cm2 9.5 mj/cm6 10 mj/cm2 10.5 mj/cm7 11 mj/cm2 11.5 mj/cm8 12 mj/cm2 12.5 mj/cm9 13 mj/cm2 13.5 mj/cm10 14 mj/cm2 DOF = 1.0u Focus Microns 5

Depth of Focus 250nm linewidth: Sidewall angle ( >80 degrees) and CD spec range( CD target +/-10%) specs are met! 6

Best Focus 250nm linewidth: Optimal focus = centered in focal range for best dose to achieve maximum sidewall angle and target CD 7

Exposure latitude Vs DOF plot from Prolith example: Depth of Focus 250nm linewidth: Taken from CD Vs Focus plot ( FEM) Maximum process rectangle that contains CDs and sidewall angles meeting the specified criteria. The Exposure latitude is taken as the height of the rectangle and the DOF as the base of the rectangle. Maximum DOF = single dose( 0% exposure latitude), while Maximum exposure latitude = 0 DOF (1 focus setting) % Expsoure Latitude 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 Exposure latitude Vs DOF 300nm dense Linewidth from Prolith 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 DOF microns 8

Linearity and MEEF(Mask Error Enhancement Factor): Slope of Wafer CD Vs Reticle CD (scaled to 1X): Ideal MEEF =1.00. See plot at right. For small CDs the change in the wafer CD is greater than the reticle CD. MEEF = δcd image /δcd mask 9

Isolated-Dense Bias: Caused by diffraction differences CDS are same size on reticle scaled to 1X ISO-DENSE BIAS = Isolated wafer CD - Dense wafer CD 10

Isolated-Dense Bias: Caused by diffraction differences CDS are same size on reticle scaled to 1X ISO-DENSE BIAS = Isolated wafer CD - Dense wafer CD 11

NILS The slope of the image intensity as a function of position (di/dx) measures the steepness of the image at the transition from bright to dark 12

NILS NILS : Normalized image log slope: slope of aerial image intensity (NILS) pattern multiplied by the feature width. This is a metric for the quality of the aerial image. Values between 6 8 are good! Can use in Prolith for quick Simulations to investigate OPTICAL effects. NILS says nothing about Photoresist patterns. 13

NILS NILS : Normalized image log slope: 14

NILS NILS : Normalized image log slope: 15

NILS NILS : Normalized image log slope: 16

NILS NILS : Normalized image log slope: 17

NILS NILS : Normalized image log slope: As image goes out of focus the image slope (NILS) decreases 18

NILS PROLITH Simulation NILS : Normalized image log slope: Typical NILS Vs Defocus curve 19

NILS PROLITH Simulation NILS : Normalized image log slope: Typical NILS Vs Defocus curve with multiple wavelengths ( Run simulation using wavelenth min, max step, then Use Prolith s Multi-line function. Focus = X axis; NILS = Y axis; wavelength = Z axis) 20

: Contrast Photoresist Contrast definition 21

: Contrast Photoresist Contrast definition Image edge Partially exposed defines sidewall angle 22

: Contrast Photoresist Contrast definition 23

Swing Curve: Thin films interference Effect: Key photoresist CD variation Effects: Swing Curve: Interference of r 1 and r 12 Standing Waves: Interference of i 1 and r 1 Swing CurveSpace width CD nm 850 Medium n o i 1 r 1 r 12 Spacewidth CD nm 825 800 775 750 725 700 675 Space width CD nm 650 625 Reflective substrate n s 600 800 850 900 950 1000 1050 1100 1150 1200 Photoresist Thickness nm 24

Reflectivity Curve: Prolith set up 25

Reflectivity Curve: Prolith SiON Reflectivity: 248nm Wavelength on Polysilicon : SiON k effect 0.46 Photoesist Reflectivity % 0.44 0.42 0.4 0.38 0.36 0.34 0.32 0.3 0.28 0.26 0.24 0.22 0.2 0.18 0.16 0.14 0.12 n= 2.15; k = 0.72 n= 2.15; k = 0.22 n= 2.15; k = 0.92 n= 2.15; k = 1.10 0.1 0.08 0.06 0.04 0.02 0 0 10 20 30 40 50 60 70 80 90 100 110 120 130 140 150 160 170 180 190 200 SiON thickness nm 26