Simulation of digital and analog/mixed signal circuits employing Tunnel-FETs

Similar documents
DIGITAL VLSI LAB ASSIGNMENT 1

Experimentally reported sub-60mv/dec

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

ECEN 474/704 Lab 6: Differential Pairs

Low Power, Area Efficient FinFET Circuit Design

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Tunnel FET C-V modeling: - Impact of TFET C-V characteristics on inverter circuit performance

FinFET-based Design for Robust Nanoscale SRAM

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Design and Simulation of Low Voltage Operational Amplifier

Electronics Basic CMOS digital circuits

A Novel Si-Tunnel FET based SRAM Design for Ultra Low-Power 0.3V V DD Applications

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Robust 6T Si tunneling transistor SRAM design

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Chapter 12 Opertational Amplifier Circuits

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair,

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

ECEN 474/704 Lab 7: Operational Transconductance Amplifiers

Silicon Single-Electron Devices for Logic Applications

CMOS VLSI Design (A3425)

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers

Reducing Transistor Variability For High Performance Low Power Chips

AVoltage Controlled Oscillator (VCO) was designed and

ES 330 Electronics II Homework # 6 Soltuions (Fall 2016 Due Wednesday, October 26, 2016)

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1

Amplifiers Frequency Response Examples

CMOS Circuits CONCORDIA VLSI DESIGN LAB

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

Low voltage, low power, bulk-driven amplifier

Homework Assignment EE 435 Homework 4 Spring 2014 Due Wednesday Feb 26

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

ALow Voltage Wide-Input-Range Bulk-Input CMOS OTA

IN digital circuits, reducing the supply voltage is one of

CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter. CMOS Inverter: A First Look

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

Lecture #29. Moore s Law

d. Can you find intrinsic gain more easily by examining the equation for current? Explain.

Enabling Efficient System Design Using Vertical Nanowire Transistor Current Mode Logic

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

The CMOS Inverter. Lecture 3a Static properties (VTC and noise margins)

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A CMOS Low-Voltage, High-Gain Op-Amp

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

LOW-POWER HYBRID TFET-CMOS MEMORY. A Thesis. Submitted to the Faculty. Purdue University. Anoop Gopinath. In Partial Fulfillment of the

Low Power Op-Amp Based on Weak Inversion with Miller-Cascoded Frequency Compensation

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

Homework Assignment 07

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Low Quiescent Power CMOS Op-Amp in 0.5µm Technology

CHAPTER 8 DIFFERENTIAL AND MULTISTAGE AMPLIFIERS

Design and Layout of Two Stage High Bandwidth Operational Amplifier

Performance Enhanced Op- Amp for 65nm CMOS Technologies and Below

ELEC 350L Electronics I Laboratory Fall 2012

ECE 546 Lecture 12 Integrated Circuits

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Short Channel Bandgap Voltage Reference

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic.

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

0.85V. 2. vs. I W / L

Design and implementation of two stage operational amplifier

Topology Selection: Input

Advanced Operational Amplifiers

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

CMOS VLSI Design (A3425)

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Microelectronics, BSc course

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

ECE/CoE 0132: FETs and Gates

High Voltage Operational Amplifiers in SOI Technology

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

HW#3 Solution. Dr. Parker. Fall 2014

DESIGN AND SIMULATION OF A TEMPERATURE-INSENSITIVE RAIL-TO-RAIL COMPARATOR FOR ANALOG-TO-DIGITAL CONVERTER APPLICATION. A Thesis.

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Week 12: Output Stages, Frequency Response

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector

EE 2274 MOSFET BASICS

Rail to rail CMOS complementary input stage with only one active differential pair at a time

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Transcription:

Simulation of digital and analog/mixed signal circuits employing Tunnel-FETs P.Palestri, S.Strangio, F.Settino, F.Crupi*, D.Esseni, M.Lanuzza*, L.Selmi IUNET-University of Udine, * IUNET-University of Calabria Energy Efficient Tunnel FET Switches and Circuits imec 1

Aim of this presentation So far very few reports of fabricated circuits with TFETs (inverters, simple gates, half-sram, current mirrors). Often not with the best performing TFETs. Often w/o complementary technology. Experimental TFETs still suffer from SS degradation (traps) Our aim: to evaluate the performance of TFET circuits down to very low Vdd considering a virtual complementary TFET technology. Benchmarking against 10nm silicon FinFETs 2

OUTLINE Template devices and methodology Digital building blocks Analog/Mixed-signal building blocks Conclusions 3

III-V heterojunction complementary TFET virtual platform Template devices PTM for 10nm silicon finfets Baravelli et al., IEEE TED, v.61, n.2, p.473 (2014) Same footprint if TFETs are vertical 7+2.3*2= 11.6 nm 14 TFET 7+2.3*2= 11.6 nm 10 nm node 8+1.2*2= 10.4 nm Area occupation= 134.56 nm 2 Area occupation= 145.6 nm 2 4

ABS[Drain current] (A) Drain current (A) ABS[Drain current] (A) Drain current (A) DC charateristics 10u 1u 100n 10n 1n 100p 10p (a) P-type pff(-0.3v) pff(-0.1v) ptf(-0.3v) ptf(-0.1v) 1p -0.5-0.4-0.3-0.2-0.1 0 V GS (V) 10u 1u 100n 10n 1n 100p 10p Ioff=2pA (b) N-type nff(0.3v) nff(0.1v) ntf(0.3v) ntf(0.1v) 1p 0 0.1 0.2 0.3 0.4 0.5 V GS (V) TFETs: unipolar conduction threshold in Vds lower output conductance TFETs: more current than finfets at low voltages (SS<60mV/dec) ptfet current is ntfet/4 same I OFF same static power per area 100u 10u 1u 100n 10n 1n 100p 10p 1p 100f 10f 1f (a) P-type V GS = 0.1V... 0.4V pff ptf -0.5-0.3-0.1 0.1 0.3 0.5 V DS (V) 100u 10u 1u 100n 10n 1n 100p 10p 1p 100f 10f 1f (b) N-type V GS = 0.1V...0.4V nff ntf -0.5-0.3-0.1 0.1 0.3 0.5 V DS (V) 5

Gate capacitance (af) Gate capacitance (af) C-V curves (a) P-type (b) N-type 45 40 35 30 pff(0) ptf(0) pff(-0.3) ptf(-0.3) 45 40 35 30 nff(0) ntf(0) nff(0.3) capacitance of ptfets higher ntf(0.3) than ntfets, due to higher DoS not so large differences between finfets and TFETs Vds dependence in TFETs -0.5-0.4-0.3-0.2-0.1 0 V GS (V) 0 0.1 0.2 0.3 0.4 0.5 V GS (V) a parasitic capacitance of 30aF present in the finfet model has been added to the TFETs too. 6

Drain Current (A) Drain Current ( A) 7nm Simulation methodology (a) NTFET (b) PTFET ptfet G ntfet S D D i G G i D D I DS i gd i gs Look-Up Tables: I DS (V DS,V GS ) C gd (V DS,V GS ) C gs (V DS,V GS ) Baravelli et al., IEEE TED, v.61, n.2, p.473 (2014) full-quantum NEGF simulations from IUNET-Bologna 10-7 10-8 10-9 calibrated TCAD templates 10-10 10-11 (a) 10-5 10-6 V DS =0.4V Tn (TCAD) Tp (TCAD) Tn (F.Q.) Tp (F.Q.) 0 0.1 0.2 0.3 0.4 V GS (V) Final Workshop 10 November (c) 2017 Energy Efficient 20Tunnel FET Switches and Circuits10 Tp 3 2 1 G S TFET symbols (a) (b) see legend in (a) V GS =0.4V 0 0 0.1 0.2 0.3 0.4 V DS (V) Tn (d) i S S i Gate = i gd + i gs i Drain = I DS i gd i Source = (I DS + i gs ) TFET Verilog-A model (b) look-up-tables in Verilog-A Cadence 7

OUTLINE Template devices and methodology Digital building blocks Analog/Mixed-signal building blocks Conclusions 8

V OUT Inv. Noise Margins Inverters: static characteristics 300 250 (a) FinFET TFET 160 140 (b) NML=VIL VOL, NMH=VOH VIH 200 150 100 0 0 50 100 150 200 250 300 V IN higher gain for TFETs thanks to lower output conductance asymmetry between n- and p-tfet requires 5 NWs in parallel for the pull-up to improve static margins lower speed; better to stay 1:1 had have slightly reduced margins p- and n-finfets are symmetric 50 120 100 80 60 NML-FinFET NMH-FinFET NML-TFET NMH-TFET 1/1 2/1 3/1 4/1 5/1 #P-type / #N-type 9

FO4 delays (s) Inverters: delay 10-6 10-7 10-8 10-9 10-10 10-11 TFET-FO4 FinFET-FO4 L=>H Delay H=>L Delay L=>H Delay H=>L Delay 200 300 400 500 600 V DD inverters with fan-out =4 1NW for pull-up 1NW for pull-down TFETs: asymmetry between L H and H L transitions due to different on-current of pull-up and pull-down TFETs faster than finfets for Vdd below approx. 400mV no layout parasitics, see presentation by IMEC 10

Propagation delay (s) Full-adders: delay standard, static CMOS, 28T full-adder FinFET TFET 10n 1n 200 300 400 500 600 V DD TFET implementation faster than finfet implementation for Vdd lower than approx. 400mV 11

E Total (aj/cyc) E Total (aj/cyc) E Dynamic (aj/cyc) E Static (aj/cyc) E Total (aj/cyc) 32 bit ripple adder 10 4 10 3 10 2 (a) Dynamic Energy FinFET TFET 10 1 200 300 400 500 600 V DD 10 4 10 3 10 2 TFETs vs finfets: (b) Static Energy 10 1 200 300 400 500 600 V DD similar dynamic energy Full-adders: energy FinFET TFET lower static energy of TFETs at low Vdd TFETs advantageous at low Vdd and low switching activity 10 4 10 3 10 2 10 1 10 4 10 3 10 2 10 1 10 4 10 3 10 2 10 1 (a) Switching activity = 100% FinFET 200 300 400 500 600 V DD FinFET (b) Switching activity = 10% TFET 200 300 400 500 600 V DD FinFET (c) Switching activity = 1% TFET 200 300 400 500 600 V DD TFET 12

Static Noise Margins Static Noise Margins 6T static RAM cell (1) outward-faced cell Vdd=300mV (a) FinFETs (b) TFETs 175 150 125 WRITE #PU=1 4 175 150 125 WRITE READ @ BL =V DD READ @ BL =0.5xV DD #PU=1 100 75 50 4 100 75 50 4 4 25 0 READ #PU=1 1 2 3 4 5 Number of ATs (#AT) 25 0 #PU=1 1 2 3 4 5 Number of ATs (#AT) TFETs with BL pre-charged at Vdd the cell cannot be read pre-charge at Vdd/2 and outward-faced configuration allow for reasonable static noise margins for a 6T cell 13

Write delay (s) Read delay (s) 6T static RAM cell (2) 10-7 10-8 (a) Write FinFET TFET 10-6 10-7 (b) Read FinFET TFET PD=1 PU=1 AT=1 (finfets), AT=3 (TFETs) 10-9 10-8 10-10 10-9 BL parasitic capacitance =20 ff 10-11 200 300 400 500 600 V DD 10-10 200 300 400 500 600 V DD BL pre-charge at Vdd/2 TEFTs faster than finfets for Vdd below 400mV 14

Fabricated half-sram (Juelich+Udine) Schematic BL and WL for AT inward I-V of the devices (Si TFET nanowires) BL and WL for AT outward Layout inverter with independent gates to mimic WF adjustment WF alignment needed for n- and p-tfets in the inverter due to variability, access transitor differs from the ntfet in the inverter [V.Luong et al, ESSDERC 2017, p.42 15

Fabricated half-sram (Juelich+Udine) different high and low voltages for each TFET TFET devices V low V high (M1) n-tfet, V g,n = 0.5V 1.3V (M2) p-tfet, V in = V g,p = 0V 0.8V (M3) n-tfet, WL = 1V 1.8V measured noise margins BLs at V DD /2 needed 16

Energy Delay Product (Js) Energy Delay Product (Js) Energy D Energy D 10-24 10-25 10-24 10-25 Level 100 200 300 shifters 400 500 V DDL 10-20 10-21 (c) Lanuzza FinFET TFET Mixed 10-20 10-21 100 200 300 400 500 V DDL (c) (d) Kim FinFET TFET Mixed 10-22 10-23 10-24 V DDH =750mV 10-22 10-23 10-24 topology from [M. Lanuzza, et al, IEEE T-CAS II, v. 64, p. 61, 2017] 10-25 100 200 300 400 500 V DDL 10-25 100 200 300 400 500 V DDL hybrid TFET/finFET topology advantageous for high V DDH /V DDL ratio TFETs for the low Vdd part, finfets for the high Vdd part 17

OUTLINE Template devices and methodology Digital building blocks Analog/Mixed-signal building blocks Conclusions 18

g m /I D (S/A) r o ( ) Small-signal performance (n-type) f T (Hz) A V = g m r o 1000 100 10 1000 100 (a) 1 1p 10p 100p 1n 10n 100n 1u 10u 10 Drain current (A) (c) nfinfet ntfet nfinfet ntfet 1 1p 10p 100p 1n 10n 100n 1u 10u Drain current (A) 1T 100G 10G 1G 100M 10M 1M (b) 100k 1p 10p 100p 1n 10n 100n 1u 10u 1T 100G 10G 1G 100M Drain current (A) (d) nfinfet ntfet 10M nfinfet ntfet 1M 1p 10p 100p 1n 10n 100n 1u Drain current (A) TFETs vs finfets: higher efficiency g m /I D at low current higher gain at mellow currents due to higher output resistance slightly higher f T at low-currents V DS = 0.3V 19

g m /I D (S/A) r o ( ) Small-signal performance (p-type) 1000 (a) pfinfet ptfet 1T 100G (b) pfinfet ptfet 100 10G 1G A V = g m r o f T (Hz) 10 1000 100 1 1p 10p 100p 1n 10n 100n 1u 10u 10 ABS[Drain current] (A) (c) pfinfet ptfet 1 1p 10p 100p 1n 10n 100n 1u 10u ABS[Drain current] (A) 100M 10M 1M 100k 1p 10p 100p 1n 10n 100n 1u 10u 1T 100G 10G 1G 100M 10M ABS[Drain current] (A) (d) pfinfet ptfet 1M 1p 10p 100p 1n 10n 100n 1u ABS[Drain current] (A) similar trends as for n-type devices lower on-current results in lower peak f T V DS = 0.3V 20

Operation amplifier TFET design FinFET design Simple Simple Telescopic cascode Folded cascode DC gain [db] 33 16 36 34 FOMGBW* [MHz pf/µa] 6.3 2.2 2.3 1.2 IDD [na] 7.9 22.7 21.7 41.7 * V DD = 500 mv, GBW = 50 MHz, C L = 1fF minimum size designs simple topology with TFETs has same performance as folded cascode with finfets at lower power simple telescopic cascode folded cascode 21

Energy per op. (aj/op) Comparators V DD values ranging from 100 mv to 600 mv (step 10 mv). 600 500 400 FinFET (conventional) TFET (conventional) FinFET (double tail) TFET (double tail) 300 200 100 conventional double-tail 0 10-9 10-8 10-7 10-6 10-5 Delay (s) range where TFET comparators are more energy efficient than finfet ones no advantages in going to double-tail architecture 22

OUTLINE Template devices and methodology Digital building blocks Analog/Mixed-signal building blocks Conclusions 23

Conclusions (1) many digital building blocks show advantages of TFETs over finfets for Vdd below approx. 400mV promising analog performance due to higher g m /I d and higher R out of TFETs analysis based on idealized devices with no layout parasitics due to the lower Ion, it is mandatory to have SS<60mV/dec to have advantages of TFETs over MOSFETs the pros (i.e. low SS and high R OUT ) and cons (i.e. ambipolarity, unidirectionality, p- versus n-type asymmetry, large C GD ) of TFETs with respect to MOSFETs can be balanced at best by adopting new circuit topologies; while research on TFETs focuses mostly on switches for digital circuits, TFETs exhibit potential interesting advantages also for analog / mixed-signal applications; 24

Conclusions (2) the introduction of TFET in mainstream CMOS technologies will likely be limited to electronic systems operating at extremely reduced voltage (lower than 400 mv) preferentially by adopting an hybrid TFET/MOSFET implementation, which takes advantage of both transistor options. At such small voltages (time dependent) variability may end up being the most stringent requirement dictating the application window of such technology 25