Precision microcomb design and fabrication for x-ray optics assembly

Similar documents
Nanometer-level repeatable metrology using the Nanoruler

Doppler writing and linewidth control for scanning beam interference lithography

Digital heterodyne interference fringe control system

Zone-plate-array lithography using synchrotron radiation

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

An X band RF MEMS switch based on silicon-on-glass architecture

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

NIH Public Access Author Manuscript Opt Lett. Author manuscript; available in PMC 2013 February 14.

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Optics Communications

Image metrology and system controls for scanning beam interference lithography

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Part 5-1: Lithography

Design Rules for Silicon Photonics Prototyping

Sub-50 nm period patterns with EUV interference lithography

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

EE C245 ME C218 Introduction to MEMS Design

A process for, and optical performance of, a low cost Wire Grid Polarizer

Digital electrostatic electron-beam array lithography

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

Characterization of field stitching in electron-beam lithography using moiré metrology

Image placement issues for ITO-based step and flash imprint lithography templates

Scanning force microscopy in the dynamic mode using microfabricated capacitive sensors

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

InGaAsP photonic band gap crystal membrane microresonators*

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

Major Fabrication Steps in MOS Process Flow

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

Fabrication of concave gratings by curved surface UV-nanoimprint lithography

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Microtools Shaped by Focused Ion Beam Milling and the Fabrication of Cylindrical Coils

Chapter 3 Fabrication

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

Generating integrated-circuit patterns via cutting and stitching of gratings

SOIMUMPs Design Handbook

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

EE 143 Microfabrication Technology Fall 2014

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement

Fabrication of Probes for High Resolution Optical Microscopy

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Inspection of templates for imprint lithography

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98

Fabrication of large grating by monitoring the latent fringe pattern

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

160MER, Austin, TX-78758, USA ABSTRACT 1. INTRODUCTION

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

CMP for More Than Moore

Pulsed Laser Ablation of Polymers for Display Applications

Profile Measurement of Resist Surface Using Multi-Array-Probe System

HEX02 EMBOSSING SYSTEM

TIP-TILT-PISTON ACTUATORS FOR HIGH FILL-FACTOR MICROMIRROR ARRAYS

Defense Technical Information Center Compilation Part Notice

Design, Fabrication and Testing of Assembly Features for Enabling Sub-micron Accurate Passive Alignment of Photonic Chips on a Silicon Optical Bench

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors

Distributed Bragg grating integrated-optical filters: Synthesis and fabrication

Optolith 2D Lithography Simulator

Properties of Structured Light

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki

New CD-SEM System for 100-nm Node Process

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

CHAPTER 2 Principle and Design

New methodology for through silicon via array macroinspection

Silicon Photonic Device Based on Bragg Grating Waveguide

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm

Simple interferometric fringe stabilization by CCD-based feedback control

Large Scale Silicon Photonic MEMS Switch

Optical MEMS pressure sensor based on a mesa-diaphragm structure

FinFET vs. FD-SOI Key Advantages & Disadvantages

SUPPLEMENTARY INFORMATION

Diffraction-limited performance of flat-substrate reflective imaging gratings patterned by DUV photolithography

KMPR 1010 Process for Glass Wafers

Bumping of Silicon Wafers using Enclosed Printhead

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

A Parallel Radial Mirror Energy Analyzer Attachment for the Scanning Electron Microscope

Guided resonance reflective phase shifters

i- Line Photoresist Development: Replacement Evaluation of OiR

Study of a Miniature Air Bearing Linear Stage System

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Characterization of Rotational Mode Disk Resonator Quality Factors in Liquid

Photomask Patterning for Slope-Form Deep Etching Using Deep-Reactive-Ion Etching and Gradation Exposure

Simple telecentric submillimeter lens with near-diffraction-limited performance across an 80 degree field of view

Non-touch thermal air-bearing shaping of x-ray telescope optics Edward Sung* a, Brandon Chalifoux a, Mark L. Schattenburg b, Ralf K.

Measurement of Surface Profile and Layer Cross-section with Wide Field of View and High Precision

Nanofluidic Diodes based on Nanotube Heterojunctions

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Roughness Pad - Measurements Report

SCANNING ELECTRON MICROSCOPE (SEM) INSPECTION OF SEMICONDUCTOR DICE. ESCC Basic Specification No

Transcription:

Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center for Space Research, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 Received 26 June 2003; accepted 2 September 2003; published 10 December 2003 Silicon microcombs developed at our laboratory for the precision alignment and assembly of large-area foil optics have previously been demonstrated to achieve submicron-level assembly repeatability with submillimeter-thick flat substrates. In this article we report on a double-side deep reactive-ion etch fabrication process using silicon-on-insulator wafers which was developed to improve the microcombs manufacturing accuracy. 2003 American Vacuum Society. DOI: 10.1116/1.1621668 I. INTRODUCTION The Constellation-X mission is the next major NASA x-ray observatory, 1 which expands on the capabilities of the current Chandra 2 and XMM 3 missions. For its spectroscopy x-ray telescope to meet the mission requirement of 15 arc sec half-power diameter resolution, thousands of segmented cylinder-like foil mirrors must be aligned at grazing incidence to the focal plane to a tolerance in the neighborhood of 1 m. A typical optic resembles a 60 partial cylinder of 200 mm length and a wall thickness of 440 m. 4 Precision silicon microcombs 5 developed in our laboratory have previously been used to assemble submillimeter-thick flat substrates to achieve submicron-level assembly repeatability and accuracy. 5,6 Their design was modified to hold cylindrical Wolter-I type optics for Constellation-X to improve the total assembly accuracy. In this article, a silicon-on-insulator SOI double-side etch fabrication process is reported, which was developed to improve the microcombs manufacturing accuracy. II. DESIGN OF MICROCOMBS The design for a set of microcombs includes two types of combs. Reference combs provide highly accurate quasisingle-point contacts against which mirrors are registered, and spring combs provide the mechanical actuation needed to properly position the mirrors see Fig. 1. A raised-up nose-like feature so-called bullnose was added to reference combs to remove an Abbe misalignment error source. The details of the mechanical design of microcombs and the assembly strategy are described in previous publications. 7 9 III. MICROCOMB FABRICATION A. Previous results a Electronic mail: yxsun@mit.edu b Author to whom correspondence should be addressed; electronic mail: ralf@space.mit.edu Microcombs are fabricated with microelectromechanical systems technology. 5 Both photoresist PR and silicon dioxide serve as etch masks during the deep reactive-ion etch DRIE process, which plasma etches through the entire silicon wafer. We use double-side polished DSP wafers for microcomb fabrication. Figure 2 shows a scanning electron microscope SEM micrograph of a pair of microcomb teeth. The manner in which photoresist and silicon dioxide are patterned contributes to dimensional accuracy loss, and the etching uniformity of the DRIE process further limits the final manufacturing accuracy. However, we are presently only interested in the relative placement of the tooth-tomirror contact points and their relative placement with respect to the bullnose tip, which we call position accuracy. That means as long as the dimensional accuracy loss is repeatable and uniform over the entire wafer, the combs will have perfect position accuracy. We have demonstrated position accuracy of better than 1 m with a single-side etching process. 5 Our goal is to develop an improved microcomb fabrication process which can achieve position accuracy of much less than 1 m. Our current DRIE fabrication facility has poor etch rate uniformity over the entire wafer, especially for larger and therefore thicker wafers. Etch rate variations cause variations in mask erosion and undercut, thus compromising position accuracy. Figure 3 shows measured etch depth results for a group of 200- m-wide trenches on a single 150-mmdiameter and 500- m-thick wafer. The positions of measured points on the pattern are illustrated in Fig. 4. Four groups of measurements have been taken for 30, 60, 100, and 110 min etch times. Generally, the DRIE etch rate is nonuniform and nonsymmetrical, and the etch rate is always higher near the edge than in the center. The results show that the one sigma deviation from uniformity is 12.7% for a 30 min etch and 13.9% for a 100 min etch. For efficient volume assembly of foil optics the combs should be as long as feasible. The design in Fig. 4 is for 100-mm-long microcombs, which requires patterning across an entire wafer. In order to etch through the middle trenches, the features at the wafer edges will require about 20 min overetch. This overetch introduces micrometer scale defects to the features which will directly affect position accuracy. To make longer microcombs, larger and thicker wafers are required. The typical thickness of a double-side polished 150 2970 J. Vac. Sci. Technol. B 21 6, NovÕDec 2003 1071-1023Õ2003Õ21 6 Õ2970Õ5Õ$19.00 2003 American Vacuum Society 2970

2971 Sun et al.: Precision microcomb design and fabrication 2971 FIG. 1. Computer aided design model of a set of microcombs designed to hold cylindrical optic foils only two foils and five teeth are shown. mm wafer is 500 m, which requires about 2.5 h DRIE for a 100- m-wide trench. Long etches risk degrading the sidewall profile and surface roughness. Figure 5 a shows a SEM micrograph of the regularly scalloped sidewall surface after a 30 min etch, and Fig. 5 b illustrates a typical sidewall after a 90 min etch for comparison. The irregular rough surface may not meet assembly accuracy requirements. The problem is worse for narrower trenches. B. Double-side etch process A double-side etch process was investigated to help solve the comb accuracy problem. Silicon wafers were etched from both sides with wider trenches etched from the back. For a 100- m-wide trench to be DRIE etched, oxide alone can serve as the protection mask. The accurate front-side DRIE etch depth is reduced to 100 m, where the optic to be assembled only needs to touch the regularly scalloped sidewall. The process is illustrated in Fig. 6. Our mask aligner has a 2 FIG. 3. Etch depth results over the entire wafer after 30, 60, 100, and 110 min of etching. Twelve points on the wafer are measured, and the trenches are 200 m wide. m alignment accuracy for front-to-back alignment which is sufficient for our application. Optical contact lithography was used to pattern photoresist, which results in some accuracy loss. More accurate patterning such as direct e-beam lithography could be used to pattern the oxide so that better lithography results can be achieved, which in turn would yield even better position accuracy. The result of the doubleside etch process on silicon is illustrated in Fig. 7. However, since the depth of the front side etch is affected by the nonuniform etch depth of back side features, regardless of which side is etched first, the depth of the accurate front side features cannot be held constant. For larger and FIG. 2. SEM micrograph of a pair of microcomb teeth fabricated with a single-side etch process. FIG. 4. Locations on wafer where etch depth was measured. Measurements were performed at 12 points. JVST B-Microelectronics and Nanometer Structures

2972 Sun et al.: Precision microcomb design and fabrication 2972 FIG. 7. SEM micrograph of a double-side DRIE etched trench. The widths of the trench in the mask are 100 and 200 m for the wafer front and back side, respectively. FIG. 5. SEM micrographs of typical DRIE results: a 30 min etch, showing regular scalloping and b 90 min etch, showing irregular and rough surfaces. thicker wafers, the variation in depth is on the order of tens of microns, which will change the teeth s stiffness and the mechanical properties. Furthermore, our current DRIE fabrication facility has poor repeatability performance. Figure 8 shows the measured etch depth for 100- m-wide trenches on six different wafers. The measured positions on each wafer are the same as those in Fig. 4. The results show that the etch depth is not repeatable even though the same machine and the same etch recipe have been used. The one sigma wafer-to-wafer variation for a 30 min etch over six wafers is 6.5%, and similar behavior has been observed for longer etch times. Since several microcombs have to be used together to build one module assembly, and hundreds of modules have to be assembled for the entire telescope construction so that replacement of microcombs due to wear or damage may be necessary, the repeatability problem will adversely affect assembly accuracy. FIG. 6. Depiction of microcomb double-side etch fabrication process on DSP silicon wafer. FIG. 8. Etch depth results with the same process and fabrication recipe for six different wafers. The trench is 100 m wide and the etch time is 30 min. J. Vac. Sci. Technol. B, Vol. 21, No. 6, NovÕDec 2003

2973 Sun et al.: Precision microcomb design and fabrication 2973 FIG. 9. Schematic of a revised microcomb double-side etch fabrication process on a SOI wafer. The device layer is 100 1 m, buried oxide layer BOX is 2 m, and the handle layer is 350 5 m thick. C. SOI double-side etch process An improved fabrication process based on SOI wafers was developed. By applying the double-side etch process to a SOI wafer, the buried silicon dioxide BOX layer can serve as an etch stop for both etches, so that the dimensions of the foil optic contact area are determined by the thickness of the device layer of the SOI wafer which is very well controlled. Current commercially available SOI wafers have excellent total thickness variation TTV control on the device layer. The TTV for a 100- m-thick layer is as good as 1 m, which can be improved when device layers become thinner. The revised fabrication process is illustrated in Fig. 9. The 2- m-thick buried oxide layer is able to withstand a 15 min overetch from the backside and serves well as the etch stop. The BOX has been removed by buffered oxide etch BOE after the process is completed. Oxygen plasma etching 3 6 h is required to remove the polymer layer deposited during the DRIE passivation cycles. Figure 10 shows a SEM micrograph for an etched trench on a SOI wafer. The BOX layer is unobservable in this image due to the undercut from the BOE etch. IV. CONCLUSION A SOI double-side etch process has been developed to fabricate accurate microcombs. The nonuniform and nonrepeatable DRIE results can be avoided if SOI wafers are used. The BOX layer of SOI wafers serves well as the etch stop. This fabrication process can improve the yield and the accuracy of microcomb fabrication. Longer microcombs can be fabricated with the same accuracy and repeatability using larger and thicker wafers. Assembly accuracy results obtained with improved microcombs will be reported soon. FIG. 10. SEM micrographs of a double-side etched trench on a SOI wafer. The widths of the trenches in the mask are 100 and 200 m for the wafer front and back side, respectively: a low magnification and b high magnification. ACKNOWLEDGMENTS The authors gratefully acknowledge the outstanding student, staff, and facility support from the Space Nanotechnology Laboratory, the Nanostructures Laboratory, and the Mi- JVST B-Microelectronics and Nanometer Structures

2974 Sun et al.: Precision microcomb design and fabrication 2974 crosystems Technology Laboratory, all at MIT. This work is supported by NASA Grant Nos. NAG5-5271 and NCC5-633. 1 R. Petre et al., Proc. SPIE 4851, 433 2003. 2 M. Weisskopf, B. Brinkman, C. Canizares, G. Garmire, S. Murray, and L. P. van Speybroeck, Publ. Astron. Soc. Pac. 114, 1 2002. 3 B. Aschenbach, U. G. Briel, F. Haberl, H. W. Braeuninger, W. Burkert, A. Oppitz, P. Gondoin, and D. H. Lumb, Proc. SPIE 4012, 731 2000. 4 W. A. Podgorski et al., Proc. SPIE 4851, 491 2003. 5 C. G. Chen, L. M. Cohen, R. K. Heilmann, P. T. Konkola, O. Mongrard, G. P. Monnelly, and M. L. Schattenburg, J. Vac. Sci. Technol. B 18, 3272 2000. 6 G. P. Monnelly et al., Proc. SPIE 4138, 164 2000. 7 O. Mongrard, Master s thesis, Massachusetts Institute of Technology, Cambridge, MA, 2001. 8 C. R. Forest et al., Proc. SPIE 4851, 538 2003. 9 C. R. Forest, Master s thesis, Massachusetts Institute of Technology, Cambridge, MA, 2003. J. Vac. Sci. Technol. B, Vol. 21, No. 6, NovÕDec 2003