Keywords: Column bypassing multiplier, Modified booth algorithm, Spartan-3AN.

Similar documents
REVIEW ARTICLE: EFFICIENT MULTIPLIER ARCHITECTURE IN VLSI DESIGN

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

Design of Low Power Column bypass Multiplier using FPGA

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Performance Comparison of Multipliers for Power-Speed Trade-off in VLSI Design

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors

A Review on Different Multiplier Techniques

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Anitha R 1, Alekhya Nelapati 2, Lincy Jesima W 3, V. Bagyaveereswaran 4, IEEE member, VIT University, Vellore

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

ISSN Vol.03,Issue.02, February-2014, Pages:

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

Performance Analysis of Multipliers in VLSI Design

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

CHAPTER 1 INTRODUCTION

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

High Performance Low-Power Signed Multiplier

DESIGN OF LOW POWER MULTIPLIERS

Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL

By Dayadi Lakshmaiah, Dr. M. V. Subramanyam & Dr. K. Satya Prasad Jawaharlal Nehru Technological University, India

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

NOVEL HIGH SPEED IMPLEMENTATION OF 32 BIT MULTIPLIER USING CSLA and CLAA

Data Word Length Reduction for Low-Power DSP Software

Design of an optimized multiplier based on approximation logic

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

International Journal of Advanced Research in Computer Science and Software Engineering

Low-Power Multipliers with Data Wordlength Reduction

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Comparison of Conventional Multiplier with Bypass Zero Multiplier

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter

An Optimized Design for Parallel MAC based on Radix-4 MBA

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

Ajmer, Sikar Road Ajmer,Rajasthan,India. Ajmer, Sikar Road Ajmer,Rajasthan,India.

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

Digital Integrated CircuitDesign

IMPLEMENTATION OF AREA EFFICIENT MULTIPLIER AND ADDER ARCHITECTURE IN DIGITAL FIR FILTER

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Design of Digital FIR Filter using Modified MAC Unit

Keywords , IJARCSSE All Rights Reserved Page Lecturer, EN Dept., DBACER,

A Parallel Multiplier - Accumulator Based On Radix 4 Modified Booth Algorithms by Using Spurious Power Suppression Technique

Performance Analysis of an Efficient Reconfigurable Multiplier for Multirate Systems

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER

Design and Implementation of High Radix Booth Multiplier using Koggestone Adder and Carry Select Adder

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER

A High Speed Wallace Tree Multiplier Using Modified Booth Algorithm for Fast Arithmetic Circuits

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

ISSN Vol.07,Issue.08, July-2015, Pages:

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Implementation of Low Power High Speed Full Adder Using GDI Mux

VLSI Design of High Performance Complex Multiplier

Modified Partial Product Generator for Redundant Binary Multiplier with High Modularity and Carry-Free Addition

Modified Design of High Speed Baugh Wooley Multiplier

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

VLSI Design and FPGA Implementation of N Binary Multiplier Using N-1 Binary Multipliers

Modified Low Power and High Speed Row and Column Bypass Multiplier using FPGA

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF AREA EFFICIENT TRUNCATED MULTIPLIER FOR DIGITAL SIGNAL PROCESSING APPLICATIONS

AN EFFICIENT MAC DESIGN IN DIGITAL FILTERS

A New Architecture for Signed Radix-2 m Pure Array Multipliers

Reconfigurable High Performance Baugh-Wooley Multiplier for DSP Applications

An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder

International Journal of Computer Engineering and Applications, Volume XI, Issue XI, Nov. 17, ISSN

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

Design and Analysis of CMOS based Low Power Carry Select Full Adder

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic

Design and Implementation of Complex Multiplier Using Compressors

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

A NOVEL WALLACE TREE MULTIPLIER FOR USING FAST ADDERS

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Structural VHDL Implementation of Wallace Multiplier

Tirupur, Tamilnadu, India 1 2

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

ISSN:

Transcription:

Volume 4, Issue 5, May 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Empirical Review of Low Power Column by Pass Multiplier Er. Neha Gupta M.Tech (ECE), SRMIET. Dr. B.K Sharma Director, SRMIET. ABSTRACT: Designing high-speed multipliers with low power and regular in layout have substantial research interest. The analysis is done on the basis of certain performance parameters i.e. Area, Speed and Power consumption and dissipation. Multipliers are considered to be an important component in DSP applications like filters. Therefore, the low power multiplier is a necessity for the design and implementation. To scale back the facility consumption of multiplier factor booth coding methodology is being employed to rearrange the input bits. The operation of the booth decoder is to rearrange the given booth equivalent. Booth decoder can increase the range of zeros in variety. Hence the switching activity are going to be reduced that further reduces the power consumption of the design. The input bit constant determines the switching activity part that's once the input constant is zero corresponding rows or column of the multiplier ought to be deactivated. When multiplicand contains more number of zeros the higher power reduction can takes place. So in modified booth multiplier high power reductions will be achieved. In this paper a modified structure with reduced switching activity is presented through optimization of design. Many low power designs have been found. Power reduction can be improved using structure modification. Keywords: Column bypassing multiplier, Modified booth algorithm, Spartan-3AN. I. INTRODUCTION Multiplication is a basic arithmetic operation which is present in many part of the digital computer especially in signal processing systems such as graphics and computation system. It requires more hardware resources and processing time than addition and subtraction requires. There is a continuous development in VLSI technologies and, the needs to develop process independent chip design tools are growing [1], [2], [3]. Low power consumption, and short design cycle, have Become increasingly popular over the past few years. Many Multimedia and DSP applications are highly multiplication intensive so that the performance and power consumption of these systems are dominated by multipliers. The computation of the multipliers manipulates two input data to generate many partial products for subsequent addition operations, which in the CMOS circuit design requires many switching activities. Thus, switching activity within the functional unit requires for majority of power consumption and also increases delay. Therefore, minimizing the switching activities can effectively reduce power dissipation and increase the speed of operation without impacting the circuit s operational performance. There are different multiplier structures which can be classified as Serial Multipliers, Parallel multipliers, Array multipliers, Tree multipliers and so on [4],[5]. Multipliers are categorized in relative to their architecture, applications, and the way of producing partial products and summing up of partial products to produce the final result. In parallel multipliers numbers of partial products to be added is the main parameter that determines the performance of multiplier. In an array multiplier, futile computations occur on those columns or rows of adder corresponding to zero bits in the input operands. To save the power, we must first disable the futile computation and second bypass results from the previous stage [6], [7]. The computation can be disabled by either freezing its inputs or gating the logic evaluation. The former approach requires either input gating or multiplexing circuits while the latter approach needs extra gating logic along the evaluation path. The output signal bypassing must be realized by a multiplexer. II. LITERATURE SURVEY A. ARRAY MULTIPLIER Array multiplier is an efficient layout of a combinational multiplier. Multiplication of two binary number can be obtained with one micro-operation by using a combinational circuit that forms the product bit all at once thus making it a fast way of multiplying two numbers since only delay is the time for the signals to propagate through the gates that forms the multiplication array. With its good structure, this multiplier is based on the standard add and shift operations. Each partial product is generated by taking into account the multiplicand and one bit of multiplier each time. The impending addition is carried out by high-speed carrysave algorithm and the final product is obtained employing any fast adder the number of partial products depends upon the number of multiplier bits. 2014, IJARCSSE All Rights Reserved Page 1309

Consider the multiplication of two unsigned n-bit numbers, where A=a n-1, a n-2,..., a 0 is the multiplicand and B= b n-1, b n-2,..., b 0 is the multiplier. The product C=c 2n-1,c 2n-2,..., c 0, can be written as follows: n 1 n 1 C= i=0 j =0 a i b j 2 i+j The additions are shown in the corresponding row is shown as 4x4 multiplications Conclusion: Array Multiplier gives more power consumption as well as optimum number of components required, but delay for this multiplier is larger. It also requires larger number of gates because of which area is also increased; due to this array multiplier is less economical [8] [9].Thus, it is a fast multiplier but hardware complexity is high. B. WALLACE TREE MULTIPLIER For real-time signal processing, a high speed and throughput Multipliers-Accumulator (MAC) is always a key to achieve high performance in the digital signal processing system. The main consideration of MAC design is to enhance its speeds. That high speed is achieved through this well-known Wallace tree multiplier. Wallace introduced parallel multiplier architecture [10], [11] to achieve high speed. Wallace Tree algorithm can be used to reduce the number of sequential adding stages. Using this method, a three step process is used to multiply two numbers; the bit products are formed, the bit product matrix is reduced to a two row matrix where sum of the row equals the sum of bit products, and the two resulting rows are summed with a fast adder to produce a final product. In this architecture, all the bits of all partial products in each column are added together to a set of counters in parallel without propagating the carries. Another set of counters reduces this new matrix until a two row matrix is generated. Generally the Wallace tree construction has many ways to implement. One way among them is considering all bits in a column and producing two bits as output for that column. Another way is to consider first four bits of a column and producing two bits which uses 4:2 compressors. And the other is considering first three bits in a column which uses 3:2 compressors. The Wallace Tree multiplier has an irregular structure [12]. Many different adder tree structures have been used to reduce the computation time of the multipliers. The computation time of the Wallace tree has achieved the lower bound of O (log3/2 N). For n-bit Wallace tree multiplier, the number of steps needed is (log3/2(n/2) + 1). Wallace tree multipliers have significant complexity and timing advantages over traditional matrix multipliers. Conclusion: In the Wallace tree method, the circuit layout is not easy although the speed of the operation is high since the circuit is quite irregular [13]. Wallace tree styles are generally avoided for low power applications, since excess of wiring is likely to consume extra power. C. BAUGH WOOLEY MULTIPLIER Baugh-Wooley Two s compliment Signed multipliers is the best known algorithm for signed multiplication because it maximizes the regularity of the multiplier and allow all the partial products to have positive sign bits. Baugh Wooley technique was developed to design direct multipliers for Two s compliment numbers [14].When multiplying two s 2014, IJARCSSE All Rights Reserved Page 1310

compliment numbers directly, each of the partial products to be added is a signed numbers. Thus each partial product has to be sign extended to the width of the final product in order to form a correct sum by the Carry Save Adder (CSA) tree. Conclusion: According to the Baugh wooley approach, an efficient method of adding extra entries to the matrix is suggested to avoid negatively bits in the partial product matrix which results in extra circuitry and increase in power consumption. D. BRAUN MULTIPLIER Braun multiplier is well known due to its regular structure. It is a simple parallel multiplier that is commonly known as carry save array multiplier. This multiplier is restricted to performing multiplication of two unsigned numbers. It consists of array of AND gates and adders arranged in iterative structure that does not require logic registers. This is also known as the nonadditive multiplier since it does not add an additional operand to result of multiplication. Figure 2: 4x4 Braun multiplier An array implementation, known as the Braun multiplier is shown in Figure 2. In the 4x4 Braun multiplier, the multiplier array consists of rows of carry-saveadders (CSAs), in which each row contains 3 full adders (FAs). Each FA has three inputs and two outputs: the sum bit and the carry bit. 3 FAs in the first CSA row that have only two valid inputs can be replaced by 3 half adders (HAs) and 3 FAs in the last row can be constructed as a 3-bit ripple-carry adder. Conclusion: One of the major disadvantages of the Braun s multiplier is that the number of components required increases quadratically with the number of bits which will make the multiplier to be inefficient. It cannot stop the switching activity even if the bit coefficient is zero that ultimately results in unnecessary power dissipation. E. BOOTH MULTIPLIER The Booth recoding multiplier is one such multiplier; it scans the three bits at a time to reduce the number of partial products [15]. These three bits are: the two bit from the present pair; and a third bit from the high order bit of an adjacent lower order pair. After examining each triplet of bits, the triplets are converted by Booth logic into a set of five control signals used by the adder cells in the array to control the operations performed by the adder cells. The method of Booth recording reduces the numbers of adders and hence the delay required to produce the partial sums by examining three bits at a time. To speed up the multiplication Booth encoding performs several steps of multiplication at once. Booth s algorithm takes advantage of the fact that an adder subtracted is nearly as fast and small as a simple adder. Conclusion: The drawbacks of Booth multiplier are number of add subtract operations and the number of shift operation becomes variable and becomes inconvenient in designing parallel multipliers. The algorithm becomes inefficient when there are isolated 1 s, which results in more power consumption due to large number of adders. Summing the partially redundant partial products requires as much hardware as representing them in the fully redundant form. III. PROPOSED WORK MODIFIED BOOTH ALGORITHM Booth encoding is a method of reducing the number of partial products required to produce the multiplication result. To achieve high-speed multiplication, algorithms using parallel counters like modified Booth algorithm has been proposed and used. This type of fast multiplier operates much faster than an array multiplier for longer operands because it s time to compute is proportional to the logarithm of the word length of operands. By recoding the numbers that are to be multiplied, Modified Booth multiplier allows for smaller, faster multiplication circuits. The number of partial products is reduced to half, by using the technique of Booth recoding [16], [17]. Reduction in the number of partial products depends upon how many bits are recoded and on the grouping of bits. Fig.3 Grouping of bits from the multiplier term Thus grouped multiplier will result in the production of bits between these five bits as follows as -2,-1, 0, +1, and +2.The advantage of this method is making the number of partial products into half of the multiplier term size by grouping. 2014, IJARCSSE All Rights Reserved Page 1311

The advantage of this method is making the number of partial products into half of the multiplier term size by grouping. The main disadvantage of the modified booth multiplier is its complexity of the circuit to produce partial product. An example of modified booth algorithm is given as: This Booth Algorithm, is called the Modified Booth Algorithm or simply the Booth Algorithm, can be generalized to any radix. However, a 3-bit recoding would require the following set of digits to be multiplied by the multiplicand: 0, ±1, ±2, ±3, ±4. The difficulty lies in the fact that ±3Y is computed by summing (or subtracting) Y to ±2Y, which means that a carry propagation occurs. The delay caused by the carry propagation renders this scheme to be slower than a conventional one. Consequently, only the 2 bit (radix 4) Booth recoding is used. So Modified Booth recoding is performed within two steps: encoding and selection. The purpose of the encoding is to scan the triplet of bits of the multiplier and define the operation to be performed on the multiplicand, as: After scanning all the input three bits we will come to a conclusion that outcome of three input bits is the input bit other than the same two input bits, so we can bypass that multiplier through column bypassing technique which will help us to further reduce our delay. IV. POWER CONSUMPTION Power is the most important parameter in digital circuits to fabricate chips and portable devices. CMOS technology is used in digital circuits due to its less power consumption. Power consumption in CMOS circuits can be divided into dynamic and static power consumption. Ps=afclkVdd2Cl+IccVdd+IleakageVdd Where a is the switching activity, fclk is the clock frequency, Cl is the output capacitance, Vdd is the supply voltage, and Ileakage is the leakage current. V. BYPASSING TECHNIQUE Dynamic power consumption can be reduced by bypassing method when the multiplier has more zeros in input data. To perform isolation, transmission gates can be used, as ideal switches with small power consumption, propagation delay similar to the inverter and small area. To study the proposed design we have consider column bypassing multiplier in which columns of adders are bypassed. In this multiplier, the operations in a column can be disabled if the corresponding bit in the multiplicand is 0. The advantage of this multiplier is it eliminates the extra correcting circuit. 2014, IJARCSSE All Rights Reserved Page 1312

Fig. An example of Column Bypassing VI. CONCLUSION Considering all facts of the multipliers above, combinations of multiplier can give good result for operands which have greater number of bits. Its dynamic power saving is a main advantage in Low power VLSI design world with great battery backup. In this paper we have concluded reduced delay using modified booth algorithm with implemented on Spartan 3-AN family [19]. Optimization has been achieved using VERILOG instead of VHDL. This technique achieves higher delay reduction with lower hardware overhead and power is further reduced by switching off the unused circuit elements. This work can be further extended with the analysis of power and area when considered for ASIC implementation. REFERENCES [1] S. M. Aziz, Iftekhar Ahmed, "Easily Testable Array Multiplier Design Using VHDL "Malaysian Journal of Computer Science, Vol.11 No. 2, December 1998, pp.1-7. [2] D. D. Gazski, N. D. Dutt, C. H. Wu and Y. L.Lin, High-Level Synthesis, Introduction to Chip and System Design, Kluwer Academic Publishers, 1991. [3] Z. Navabi, VHDL Analysis and Modeling of Digital Systems, New York, McGraw-Hill, Inc.,1993. [4] Anantha. P. Chandrakashanan, R. Brodcrsen, Low Power Digital CMOS Design, Kluwer. Academic Publisher, 1996. [5] Koren, Computer Arithmetic Algorithms, Prentice Hall, Englewood Cliffs, New Jersey, 1993 Shweta. [6] X. Chang, M. Zhang, G. Zhang, Z. Zhang, and J. Wang, Adaptive clock gating technique for low power IP core in SOC design, in Proc. IEEE Int. Symp. Circuits Syst., May 2007, pp. 2120 2123. [7] Oscal T. -C. Chen, Sandy Wang, and Yi-Wen Wu, Minimization of Switching Activities of Partial Products for Designing Low-Power Multipliers, IEEE Transactions on VLSI Systems, vol. 11, no. 3, June 2003. [8] Jorn Stohmann Erich Barke, A Universal Pezaris ArrayMultiplier Generator for SRAM-Based FPGAs IMS- Institute of Microelectronics System, University of Hanover Callinstr, 34,D- 30167 Hanover,Germany,1997. [9] Jorn Stohmann Erich Barke, A Universal Pezaris ArrayMultiplier Generator for SRAM-Based FPGAs IMS- Institute of Microelectronics System, University of Hanover Callinstr, 34,D- 30167 Hanover,Germany,1997. [10] S.Shah, A. J. Aj-Khabb, D. AI-Khabb, "Comparison of 32-bit Multipliers for Various Performance Measures" The 12th International Conference on Microelectronics Tehran, Oct.31- Nov. 2, 2000 [11] C.S.Wallace, A suggestion for a fast multiplier, IEEE Trans. Elechon. Con@., vol. EC-13, pp. 14-17, Feb. 1964. [12] Mahmoud A. Al-Qutayri, Hassan R. Barada and Ahmed Al-Kindi, "Comparison of Multipliers Architectures through Emulation and Handle-C FPGA Implementation"Etisalat University College, Sharjah, UAE. [13] L. Dadda, Some schemes for parallel multipliers, Alta Freq., vol. 34,pp. 349 356, May 1965. [14] T.-B. Juang and S.-F. Hsiao, Low-power carry-free fixed-width multipliers with low-cost compensation circuit, IEEE Trans.5 Circuits Syst.II, Analog Digit. Signal Process. vol. 52, no. 6, pp. 299 303, Jun. 2005. [15] C. R. Baugh and B. A.Wooley,.A two.s complement parallel array multiplication algorithm., IEEE Trans. Comput., vol. C-22, pp. 1045-1047, Dec. 1973. [16] Yingtao Jiang, Abdulkarim Al-Sheraidah, Yuke Wang, Edwin Sha, and Jin-Gyun Chung, A Novel Multiplexer- Based Low-Power Full Adder, in IEEE transactions on circuits and systems vol. 51, no. 7, July 2004. [17] Ohban.J, V.G. Moshnyaga, and K. Inoue, Multiplier energy reduction through bypassing of partial products, Asia-Pacific Conf.on Circuits and Systems. vol.2, pp. 13-17, 2002. [18] N. H. E. Weste, and K.Eshraghain, PRINCIPLES OF CMOS VLSI Design, A Systems Perspective, Pearson Education, 2010. [19] Muhammad H. Rais and Mohammed H. Al Mijalli, Braun s Multipliers: Spartan-3AN based Design and Implementation,Journal of Computer Science,.ISSN 1549-3636 2011 Science Publications. 1629-1632, 7 /11/2011 2014, IJARCSSE All Rights Reserved Page 1313