3D ICs: Recent Advances in the Industry

Similar documents
The Future of Packaging ~ Advanced System Integration

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Fabricating 2.5D, 3D, 5.5D Devices

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Chapter 7 Introduction to 3D Integration Technology using TSV

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Fraunhofer IZM - ASSID

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

Silicon Interposers enable high performance capacitors

Adaptive Patterning. ISS 2019 January 8th

Integrated Photonics using the POET Optical InterposerTM Platform

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Enabling concepts: Packaging Technologies

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

2D to 3d architectures: back to the future

SiP packaging technology of intelligent sensor module. Tony li

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Market and technology trends in advanced packaging

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis

New Wave SiP solution for Power

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Signal Integrity Design of TSV-Based 3D IC

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Foundry WLSI Technology for Power Management System Integration

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Integration of 3D detector systems

New Approaches to Develop a Scalable 3D IC Assembly Method

2.5D & 3D Package Signal Integrity A Paradigm Shift

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Image Sensor Advanced Package Solution. Prepared by : JL Huang & KingPak RD division

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

A Low-cost Through Via Interconnection for ISM WLP

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

Signal Integrity Modeling and Measurement of TSV in 3D IC

MID Manufacturing Process.

Opportunities and challenges of silicon photonics based System-In-Package

Xilinx XC5VLX50 FPGA UMC 65 nm Process

TSI, or through-silicon insulation, is the

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor

Smart Devices of 2025

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Advances in X-Ray Technology for Semicon Applications Keith Bryant and Thorsten Rother

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

Lecture Perspectives. Administrivia

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Digital Design and System Implementation. Overview of Physical Implementations

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS

WLP Probing Technology Opportunity and Challenge. Clark Liu

Getting the FLI Lead Out. Thomas J. De Bonis Assembly & Test Technology Development Technology and Manufacturing Group

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis

EECS150 - Digital Design Lecture 2 - CMOS

Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions

Jan Bogaerts imec

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process

!"#$"%&' ()#*+,-+.&/0(

3D Si Interposer Design and Electrical Performance Study

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M 512 Megabit DDR2 SDRAM Structural Analysis

SESUB - Its Leadership In Embedded Die Packaging Technology

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

420 Intro to VLSI Design

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

LSI ON GLASS SUBSTRATES

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

The 3D Silicon Leader

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

EMT 251 Introduction to IC Design

CMP for More Than Moore

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

+1 (479)

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone

Lecture 0: Introduction

VLSI: An Introduction

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

IMPACT OF 450MM ON CMP

IBM POWER7 Server 46J6702 IBM 45 nm Dual Stress Liner SOI CMOS Process with edram

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

Component Miniaturization and High-Density Technologies in Space Applications

Transcription:

3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging

Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect Technology Refers to Xilinx 3D solutions

3D IC Background

Technical Challenges & Costs Are Growing Process Technology Path Below 7nm is unclear Cost Reduction Slowing from Complexity / Investment Increases Cost Per Wafer & Cost Per Gate Deviating from Historical Reduction

Technical Challenges & Costs Are Growing Process Technology Path Below 7nm is unclear Cost Reduction Slowing from Complexity / Investment Increases Cost Per Wafer & Cost Per Gate Deviating from Historical Reduction

Die Cost 3DIC Extends Moore s Law Cost Comparison: Monolithic vs Multi-Die Moore s Law is Really About Economics Gordon Moore Monolithic Multi-Die Die Area

I/O density 25D Technology Platform 25D Si interposer W/S<1/1um, ML > 3 FPGA die partition >10 4 Advanced fan-out W/S<3/3um, ML<3 GPU,CPU + Memory FPGA + ASIC 10 3 Standard fan-out W/S<10/10um, ML<2 AP + WIO CPU + DRAM AP/BB die partition Laminate SiP Application Processor Baseband 10 2 PA PM Peripheral I/O Controller BT/WiFi Total silicon die area (mm 2 ) Grey Zone: Limited scalability (multi-die integration & fine line & metal layer) EMIB Fan-out POSSUM Organic interposer Glass interposer High

Supply Chain TSMC CoWoS in production UMC/SPIL technology is ready Logic IP (3) ubump/sort TSMC CoWoS TM Memory (2) ubump/sort UMC FPGA (1) ubump/sort SPIL TSV Si Interposer Chip-on-Wafer Bonding (1) Thinning/ C4/Sorting De-carrier & Dicing Packaging on substrate Final Test & Shipment TSV Si Interposer Interposer Thinning/ C4/Sorting KGI die reconfiguration KGD (1~3) chip stacking Packaging on substrate *Re-usable cavity wafer

Xilinx 28nm 3D IC Huge Leap in Innovation Earth Area: ~500 Million km 2 Population: ~68 Billion People Oceans: 5 Virtex-7 2000T Interposer Area: ~775 mm 2 Population: ~68 Billion Transistors Chips: 5 136 Patents Awarded Worldwide 226 Pending Applications Worldwide

3D IC Technology Development

3D IC Anatomy & Assembly Flow Passive Silicon Interposer (65nm) 4 Metal Layers Connecting Micro-Bumps & TSVs Primary Chip on Wafer Assembly Steps Micro-Bumps Power / Ground / IOs / Routing C4 Bumps Connects Silicon to Package Through-Silicon Via (TSVs) Connects Power / Ground / IOs to C4 Bumps Achieved Good Yield & Quality > 150,000 Micro-bumps > 10,000 TSVs > 10,000 C4 Bumps > 90 Processing Steps in 3D IC Flow (From Bump to Completed Package)

Critical Challenge: Warpage Control CoWoS Technology Top dies are attached to full-thickness interposer wafers thus getting around the thin interposer warpage and poor micro-bump joining problem Reconfigurable CoW (rcow) Technology Xilinx patent issued worldwide (US/TWN/CN/EU/IND/JPN/KR) Release layer approach that withstands reflow & maintains low warpage Warpage control Keep warpage below <10um over entire temp range CoWoS rcow CoS

HTS Aging Reliability Issue Voiding or crack in micro-joint during long term stress (HTS in particular) Due to limited Sn source and its dual consumption rate from top and bottom pad Resolution : Heavy Cu doping into LF solder cap (with Ni barrier layer) Take advantages of ductile IMC (Cu-Sn) and slower IMC reaction (Ni with Cu-Sn IMC) Passed 3X reflow + 150 o C aging condition for > 1000 hrs Diffusion flux model of inter-diffusion HTS aging performance Standard Cu/Solder *Reference images (from no-doping u-bump) -Fast Cu-Sn reaction -kirkendal void form -Ductile IMC -Brittle NiSn IMC -Slow IMC reaction -Large Vol shrinkage Hybridized -Stable Cu-Sn IMC -Super slow IMC reaction

Leadership Continues at 20/16nm - UltraSCALE 44M Logic Cells in 20nm 14B transistors 600,000 micro-bumps 55mm package, 2892 pins

Leadership Continues at 20/16nm - UltraSCALE 20 db insertion loss at Nyquist Frequency Low loss substrate and design 23,000 C4 bumps 32G TX Eye

Demo High Performance Mixed Signal Integration Array of 16 DACs 16-bit 16GS/s 2 x Virtex-7 350T slices Array of 16 ADCs 13-bit 125MS/s Industry first 3D FPGA/Mixed Signal integration (ISSCC 2014)

Si-Less/TSV-less Interconnect Tech (SLIT) Builds on Interposer Platform Key Benefits Lower cost of ownership - No HR-Si substrate used & less process modules (No TSV module/tbdb/tsv revealing) SLIT (Under development) Structure High-R Si substrate 65nm 4X Metal TSV Creation Micro-bumping Temporary carrier Thinning TSV Revealing Xilinx, SPIL (imaps 2014)

3D IC Technology Landscape Players Chip level Device level W2W C2W level Samsung DRAM / Hynix NAND & DRAM / IBM / Micron / Elpida / Qualcomm / Nokia Samsung Vertical-Gate NAND/ Besang / Monolithic 3D IC / Stanford SONY (Stacked CIS) / Tezzaron / Ziptronix/ MIT Lincon Lab TSV size 5~10um 05~2um contact through oxide 2~5um in diameter TSV pitch 30~50um 1~4um (not limited) 5~10um TSV count 1k~5k Not limited Not limited Oxide-tooxide bond Key features Cu-to-Cu bond

Summary Economic and technology forces are aligned to enable 25D/3D stacking TSV and 3D stacking already deployed in Smartphones, High end FPGAs & Servers The end game will see three distinct technologies: Logic, Memory, Analog Analog Logic Mem Package

Acknowledgements Xilinx R&D, Reliability, NPI, Operations and Marketing Teams Partners TSMC R&D and Production Teams for FPGA, CoWoS UMC for Interposer SPIL R&D for MEOL and Advanced Packaging Fujitsu Interconnect Technology for High Speed Substrates

Design Rule Comparison Design Rules for Die to Die interconnection MCM (Substrate ) EMIB Minimum Bump pitch (um) 150 (C4) 150 (C4) 40 (u-bump) bridge Silicon Interposer (65 nm BEOL) WLFO / Organic Interposer < 40 (u-bump) 40 um RDL pad pitch Via size / pad size (um) 60 / 90 04 / 07 04 / 07 10/30 Minimum Line & Space (um) 15 / 15 04 / 04 04 / 04 3 / 3 Metal thickness (um) 10 1 1 2-5 Dielectric thickness (um) 30 1 1 < 5 # of die-to-die connections per layer + GND shield layer (2L) Minimum die to die spacing (um) # of High density layers feasible Die Sizes for assembly and # of assemblies 100 s 10,000 s 10,000 s 1000 s 4000 Bridge ~ 2500 150 < 250 Not a limitation Not a limitation Not a limitation 1-3L layers Not a concern Size & # limitation? Not a concern Size limitation? Xilinx pursuing Silicon Interposer for design rule density, BW and lower power eg die partition