Registration performance on EUV masks using high-resolution registration metrology

Similar documents
Improving registration metrology by correlation methods based on alias-free image simulation

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

2009 International Workshop on EUV Lithography

Comparison of actinic and non-actinic inspection of programmed defect masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Optics for EUV Lithography

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

16nm with 193nm Immersion Lithography and Double Exposure

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Optical Microlithography XXVIII

(Complementary E-Beam Lithography)

Mask Technology Development in Extreme-Ultraviolet Lithography

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Lithography. International SEMATECH: A Focus on the Photomask Industry

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Reducing Proximity Effects in Optical Lithography

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Inspection of templates for imprint lithography

Mirror-based pattern generation for maskless lithography

Multi-beam mask writer MBM-1000 for advanced mask making

Progresses in NIL Template Fabrication Naoya Hayashi

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Demo Pattern and Performance Test

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Managing Within Budget

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Process Optimization

Challenges of EUV masks and preliminary evaluation

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

In-line focus monitoring and fast determination of best focus using scatterometry

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

EUV Substrate and Blank Inspection

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Line edge roughness on photo lithographic masks

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Development of X-ray Tool For Critical- Dimension Metrology

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Bringing Answers to the Surface

OPC Rectification of Random Space Patterns in 193nm Lithography

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Kit for building your own THz Time-Domain Spectrometer

Overlay accuracy a metal layer study

MAPPER: High throughput Maskless Lithography

Mask magnification at the 45-nm node and beyond

Pellicle dimensions for high NA photomasks

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Evaluation of Technology Options by Lithography Simulation

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Metrology in the context of holistic Lithography

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Market and technology trends in advanced packaging

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Progress in full field EUV lithography program at IMEC

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

size (the programmed size of the undeformed ball).

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Lithography on the Edge

Update on 193nm immersion exposure tool

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Aerial image based mask defect detection in dense array structures

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Impact of EUV photomask line edge roughness on wafer prints

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Copyright 2000 Society of Photo Instrumentation Engineers.

Optimizing FinFET Structures with Design-based Metrology

Critical Challenges of EUV Mask Blank Volume Production

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

EUVL getting ready for volume introduction

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP

ABSTRACT (100 WORDS) 1. INTRODUCTION

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Nature Neuroscience: doi: /nn Supplementary Figure 1. Optimized Bessel foci for in vivo volume imaging.

1. INTRODUCTION ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

EUVL Activities in China

On spatial resolution

Transcription:

Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss SMT, ZEISS Group, Carl-Zeiss-Promenade 10, 07745 Jena b Samsung, Mask Development Team, San #16 Banwol-Dong, Hwasung-City ABSTRACT Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns. Key words: Registration, Photomask, PROVE, Overlay, Double Patterning, Image Placement, EUV INTRODUCTION The extension of optical lithography operating at 193 nm illumination wavelength down to the 10 nm node and below has increased the complexity and production costs significantly. Although still facing multiple challenges such as source power and blank defectivity [1], EUV is nevertheless widely accepted as future technology to meet the semiconductor industry s need beyond the 10 nm node. The introduction of EUV technology into production is currently targeted for the 7 nm node and an initial hybrid approach is expected. Thus, the most critical layers are printed by EUV technology while other less critical layers are continued with DUV technology. Assuming an EUV introduction in 2018, the ITRS roadmap [2] specifies tight mask registration and overlay specs of 2.2 nm and 3.7 nm, respectively. This requires precise and high-resolution registration metrology not only on DUV masks, but also on patterned high-end EUV reticles. Given the high absorption of the blank materials at the illumination wavelength of 13.5 nm, EUV technology operates in reflection mode. The reflective multilayer consists of a standard molybdenium silicide (MoSi)-multilayer from Hoya. The absorber was a TaBO/TaBN with a Ruthenium capping layer. The full-spec EUV mask analyzed in this work was written by an high-end variable shaped beam mask writing tool (EBM-9000, Nuflare). High resolution metrology is a stringent necessity in order to be able to resolve the EUV relevant features of interest and subsequently tune the e-beam writers to achieve the required registration and overlay specifications. In order to achieve the best resolution currently available, we employed a new generation registration tool, the PROVE HR. Its industryproven best registration performance originates from the unique combination of its litho-grade optics with a high NA of 0.8, the illumination wavelength of 193 nm and the superior stage concept with tight environment control. The

measurement capabilities extend from standard overlay marks to challenging In-die features not only on standard DUV masks, but also on EUV masks as we will show in the following. Approaching ever smaller nodes with tightening overlay specs, the mask industry experiences a shift to measure more complex features than large overlay crosses. The latter has been measured for decades with a threshold method where the edges of a line profile are determined with high precision. However, threshold evaluation is limited in its use when measuring for example arbitrary shaped features. This applies for example to product-related features, i.e. complex logic patterns, or small features such as very dense contact arrays, which are best suited to calibrate the deflection fields of the latest e-beam writers. To measure such features a more robust and convenient method is to use correlation methods, which take the entire image information into account, i.e. all pixels within a certain region of interest (ROI) [3]. Furthermore, this abrogates potential errors when a threshold profile is coincidentally analyzed at a border of multiple e- beam shots. The key idea of correlation measurements is to determine a registration shift via a correlation of the measured image to a certain reference. The PROVE tool offers three different correlation methods which use different references for the correlation, namely i) Symmetry mode, ii) Database simulation method and iii) Reference image mode. The Symmetry mode works for symmetric features where an image correlation is performed with the mirrored image of the measured image. For truly arbitrary features the method of choice is the Database simulation, where the reference image originates from a sophisticated aerial image simulation of the given design file. Alternatively, an approach similar to a Die-to-Die inspection mode can be employed where the feature of interest has been measured previously on the tool directly and is stored subsequently in a reference database. For all three correlation methods a so-called keyhole functionality can be used, so that also nested features can be analyzed. Instead of using the entire pixel information of the ROI, arbitrary objects can be selected. Subsequently, the boundary of this feature is automatically determined and only the pixels within that selected area are used for correlative imaging analysis (see Figure 1). In the following we demonstrate registration performance of the distinct measurement modes on three different features patterned on latest EUV blanks, standard Box-In-Box features and Product-related features such as dense pinhole arrays and bar structures. Figure 1: Keyhole functionality for nested objects. Per default all correlation methods take all pixels within the ROI (red rectangle) into account. A) Nested objects such as the outer frame can be specifically targeted for registration analysis setting an object position (green cross) at the feature of interest within the ROI, here exemplary the outer frame of the Box-In-Box feature. B) The boundary of the feature is automatically determined (dashed lines in right image) and only pixels within that boundary are used for the image analysis. As a result, the white center cross shows the registration result solely of the outer frame not taking the center contact into account.

STANDARD BOX-IN-BOX FEATURE In a first step we investigated a standard Box-In-Box feature as shown in Figure 2. Such a standard marker is also processed onto many DUV reticles, hence allowing a comparison of high-end registration performance on EUV masks against current DUV production masks. Given the symmetric design and the feature size with CD 1 µm, all four possible evaluation methods can be applied and compared. In addition, we investigated whether the performance is affected if either the entire Box-In-Box structure or the individual contact in the center is analyzed. As shown in Figure 2B, the repeatability of the individual methods is consistent and stable, particularly for all correlation methods. This also holds for the comparison of the registration of the entire Box-In-Box feature against the individual contact in the center. This clearly indicates that the limited information of the contact only is sufficient for the image analysis to achieve similar repeatability performance. The slightly elevated repeatability for the contact measured with Threshold mode is expected and simply caused by the inherently limited edge information of this method. Overall, comparing these EUV results to repeatability measurements of standard marks on DUV masks, we find no significant difference. Thus, the different composition of EUV masks compared to DUV has no significant effect on the metrology performance. In result, registration markers on EUV masks can be measured with similar performance as high-end DUV masks on the PROVE HR. Figure 2: Measurement setup and repeatability performance of a standard Box-In-Box feature on a EUV mask. A) Box-In-Box feature (CD Frame= 1 µm, CD Contact= 2 µm) with an exemplary ROI for the threshold method (dashed red rectangles) and a correlation ROI for entire Box-In-Box (red rectangle) feature as well as the individual contact in the center which was measured using the keyhole functionality. B) Repeatability performance of standard Box-In-Box feature and individual contact for various measurement modes. Short-term repeatability was determined by measuring 20 loops on a 13x13 grid. In a next step we investigated the e-beam writing performance across the quality area of the entire mask. As shown in Figure 3, registration after first order compensation shows good results where registration is consistent for the different measurement modes and features. The registration performance on full spec EUV masks currently accessible by standard metrology is therefore comparable to state of the art DUV masks used for multi-patterning schemes. Recent, yet not published results from comprehensive multi beam evaluations may indicate that similar performance levels can be expected. However, with EUV lithography the shrink in minimum feature sizes at mask level starts again and mask writing tools have to prove that they are able to achieve the required pattern placement at all mask locations, independent of pattern density, feature type and size. In order to get there, sophisticated calibration strategies for writing tools are currently under investigation. Therefore a new experimental setup is proposed and explained in detail in the following section.

Figure 3: Registration performance. A) Writing performance of the Box-In-Box structure over the quality area of the EUV mask after first order compensation. B) Individual registration results for varying measurement modes and features.

E-BEAM CALIBRATION PATTERN In the following experiment we investigated challenging e-beam calibration structures where a high-resolution metrology tool is of crucial importance to resolve the features of interest. In a first step we evaluated a dense contact array as illustrated in Figure 4, the asymmetric contacts were written with a CD < 120 nm and gaps smaller than 80 nm resulting in a dense duty cycle of about 1.5:1. The excellent resolving power of the registration metrology tool used can be seen in Figure 4D-E where each individual contact is well resolved while the intensity profile exhibits excellent image contrasts up to 46%.- Figure 4: Dense Contact array. A) Full field-of-view and B) Zoom-In with three different ROI sizes (0.6, 1.2 and 3µm). C) Design dimensions of the pinhole array varied between 128 sites across the mask and within the rows of the contact array (max. design variation of 3nm). Average values were CD X=116nm, CD Y=102nm, Gap X=78nm, Gap Y=66 nm. D) False-color image of dense pinhole array and data (black line) used for intensity profile shown in E). Achieved image contrast was 46%.

Given the asymmetric nature of the contact array, we evaluated the tool performance using the correlation mode with the Database simulation as well as the Reference image. Furthermore, we varied ROI sizes from 0.6 to 3 µm (see Figure 4A/B) taking into account 7, 39 and 255 full contacts for the image analysis, respectively. As shown in Figure 5, the repeatability deteriorates slightly for the smallest ROI as less information is contained in the ROI, but there is no significant increase in the repeatability highlighting a robust registration metrology even for such challenging dense contact arrays. In analogy to the detailed analysis of the different measurement methods for the Box-In-Box feature we also checked the performance of the Database simulation method against the correlation using an acquired reference image. As illustrated in Figure 5 no difference between the measurement modes is visible emphasizing the robustness of the Database simulation. X Y Max 3σ [a.u.] 0.6 µm ROI 1.2 µm ROI 3 µm ROI 1.2 µm ROI Database simulation Reference image Figure 5: Repeatability of dense contact array for varying ROI sizes and correlation measurement methods (128 sites across the mask area, 10 loops). In a second step we tested the registration performance of individual contacts on the EUV mask. Given the litho-grade optics of all PROVE systems [4], features can also be measured off-centered from the Field-of-View (FOV). The experimental setup was as follows. A single image stack of the entire FOV was acquired and multiple contacts were individually targeted for registration analysis using the keyhole functionality combined with a database simulation as shown in Figure 6A/B. The advantage of this approach is essentially throughput. Since the stage/mask movement as well as database simulation is required only once, the only time-constraint is the image analysis of these individual contacts. In addition to the remarkable gain in throughput, the instrumental repeatability can be improved as well since the stage repeatability does not contribute statistically. As can be observed from Figure 5C, a clear pattern placement signature is notable then. For further corrections of the writing process such measurable and systematic signatures can be used as feedback to the writing tool.

Figure 6: Registration analysis of individual contacts via Keyhole correlation functionality. A) 68 individual contacts were evaluated out of a dense pinhole array. Only one image stack was acquired while registration of each individual contact was calculated in a multi-measurement fashion applying the keyhole functionality. B) A correlation boundary (red boundary surrounding the pinhole) is automatically determined within the specified ROI (red rectangle) to identify individual contacts. Subsequently, the precise registration position of a single contact (red cross) is derived from a correlation measurement using only the pixel information within the determined boundary. C) Registration result after first order compensation. In the last part we investigated another feature, a bar array with varying CDs (105-160 nm) and gap sizes (30-113 nm) as shown in Figure 7A. With respect to repeatability we analyzed the single bar structure centered in the array via the Database simulation method. Apart from site #4 all 7 features investigated exhibited an excellent repeatability down to lowest CD of 105 nm. Figure 7: Registration repeatability performance of bar structures. A) The registration of a single bar structure (red rectangle) was determined via correlation to Database simulation for various combinations of CD and Gap. B) Repeatability of the individual sites for 20 loops measured.

The significantly higher repeatability of site #4 caught our attention and we started a detailed analysis. In the end, complementary CD-SEM measurements revealed process limitation at the EUV mask itself as the root cause. While the CD of the bars is not the limiting factor, bridging at small gaps sizes such as 30 nm can occur. As exemplary shown in Figure 8, 23 out of 24 tip-to-tip structures exhibit bridging limitations at site #4, thus also limiting the repeatability of the registration results. In a next step we investigated whether the high resolution power of the PROVE HR allows a qualitative evaluation of these process limitations. The bridging is expected to affect the optical contrast of a line scan across the tip-to-tip feature. This can clearly be observed as demonstrated in Figure 8B. Furthermore, a strong correlation (R² = 0.96) is seen when comparing the optical contrast against the degree of the process limitation, in this case the effective height of the bridging measured with CD-SEM. Overall we see an excellent matching of optical images between PROVE HR and CD-SEM data. Figure 8: Comparison of PROVE HR images against SEM data. A) Both exemplary sites show excellent agreement of PROVE and SEM images. Processing issues, i.e. bridging visible at site #4 with a small nominal gap of only 30 nm, can even qualitatively be evaluated using the high resolution optics of the PROVE HR. B) Zoom-in of optical PROVE HR image and CD-SEM data into Site#4 for with upper left gap corresponding to highlighted gap (red circles) in Figure A. C) The eight gaps shown in B) were analyzed regarding the optical contrast of the line profile ((I max-i min)/(i max+i min)) including the nominal gap (exemplary black line shown in B) and the height of the bridging determined via CD-SEM. A linear correlation is observed with a R² = 0.96.

SUMMARY AND CONCLUSIONS The transition to EUV lithography is now setting another milestone for mask image placement as well as registration metrology. Independent of the writing schemes applied, the mask writing tools have to deal again with shrinking CDs and tighter image placement specifications. In order to support that roadmap new calibration strategies together with sophisticated process technologies have to be developed. Our investigations demonstrate that suitable high-resolution metrology is already available enabling sophisticated writing tool investigations by matching resolution as well as repeatability requirements at the same time. The high sensitivity of the litho-grade imaging system makes it even possible to detect process limitations which are particularly important in the early phase of process development. Throughput requirements can be met by multi-measurements over the entire field of view of the instrument. In this application a well corrected optical beam path with low aberrations is crucial. In summary, it becomes clear that high-end registration metrology is dependent on optical resolution power and image contrast. We have shown that further increasing optical resolution and system stability generates an inherent benefit for driving the e-beam performance. This is a key necessity for addressing the challenges introduced by the growing application of EUV lithography for chip manufacturing. ACKNOWLEDGEMENTS The authors appreciate the support from the Samsung Mask Development Team for providing the EUV mask under test and the valuable contribution of Dirk Seidel and Susanne Töpfer at ZEISS. REFERENCES * contact: Steffen Steinert, steffen.steinert@zeiss.com; phone +49 3641 64-1780; fax +49 3641 64-2938 [1] Z.J. Qi, E. Narita, M. Kagawa, 2015, Viability of pattern shift for defect-free EUV photomasks at the 7 nm node, Proc. SPIE 9635, 96350N. [2] http://www.itrs2.net/2013-itrs.html [3] D. Seidel, M. Arnz, D. Beyer, 2011, In-die photomask registration and overlay metrology with PROVE using 2D correlation methods, Proc. SPIE 8166, 81661E. [4] D. Beyer, D. Seidel, S. Heisig, S. Steinert, S. Töpfer, T. Scherübl, J. Hetzler, 2015, "In-die mask registration metrology and the impact of high resolution and low aberrations", Proc. SPIE 9235, 92351S.