EUVL: Challenges to Manufacturing Insertion

Similar documents
EUVL: Challenges to Manufacturing Insertion

High-NA EUV lithography enabling Moore s law in the next decade

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

EUVL getting ready for volume introduction

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Mask Technology Development in Extreme-Ultraviolet Lithography

Optics for EUV Lithography

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

R&D Status and Key Technical and Implementation Challenges for EUV HVM

2009 International Workshop on EUV Lithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

EUV Lithography Transition from Research to Commercialization

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Progress in full field EUV lithography program at IMEC

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Status and challenges of EUV Lithography

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Optical Microlithography XXVIII

Challenges of EUV masks and preliminary evaluation

EUV lithography: today and tomorrow

Evaluation of Technology Options by Lithography Simulation

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

EUV Light Source The Path to HVM Scalability in Practice

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Diffractive optical elements and their potential role in high efficiency illuminators

Intel Technology Journal

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Update on 193nm immersion exposure tool

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

EUV Actinic Blank Inspection Tool Development

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

MICROCHIP MANUFACTURING by S. Wolf

Registration performance on EUV masks using high-resolution registration metrology

Present Status and Future Prospects of EUV Lithography

Major Fabrication Steps in MOS Process Flow

Newer process technology (since 1999) includes :

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Process Optimization

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

From ArF Immersion to EUV Lithography

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

SEMATECH Defect Printability Studies

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Novel EUV Resist Development for Sub-14nm Half Pitch

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Nikon EUVL Development Progress Update

Synthesis of projection lithography for low k1 via interferometry

Lecture 5. Optical Lithography

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

Scope and Limit of Lithography to the End of Moore s Law

Optical Issues in Photolithography

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

What s So Hard About Lithography?

Comparison of actinic and non-actinic inspection of programmed defect masks

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

EUV Supporting Moore s Law

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Optolith 2D Lithography Simulator

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Progresses in NIL Template Fabrication Naoya Hayashi

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Advanced Patterning Techniques for 22nm HP and beyond

EUV lithography: status, future requirements and challenges

Inspection of templates for imprint lithography

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

EUV Substrate and Blank Inspection

Transcription:

Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 599-604 C 2017SPST Technical Paper EUVL: Challenges to Manufacturing Insertion Obert R. Wood II * Strategic Lithography Technology, GLOBALFOUNDRIES, Inc., Malta, NY, 12020 USA *obert.wood@globalfoundries.com Extreme ultraviolet (EUV) lithography with reflective photomasks continues to be a potential patterning technology for high volume manufacturing at the seven nm technology node and beyond. The advantages of EUV lithography are its superior pattern fidelity, wider process windows, and potential for extendibility to future nodes. The disadvantages of EUV lithography are its higher costs and complexity (than ArFi lithography) and the relative immaturity of its supporting infrastructure. Keywords: EUV lithography, EUV critical issues, EUV extendibility, Stochastic effects 1. Introduction Extreme ultraviolet (EUV) lithography extends optical projection lithography to higher resolution because it employs a shorter imaging wavelength ( =13.5 nm) and provides a larger depth of focus because it employs small numerical aperture (NA = 0.33) imaging optics. Although EUV lithography (EUVL) has a number of similarities to visible, deep ultraviolet (DUV), and 193-nm lithography, it presents a number of unique and difficult technical challenges. For example, because EUV radiation is not transmitted through ambient air, EUV tools must be operated with a vacuum environment. Since all solid materials strongly absorb EUV radiation, conventional refractive optics is not an option and reflective masks and imaging systems must be employed. In order to provide usable reflectivity, EUV mirrors must be coated with special multilayer (ML) reflective coatings. While the development of EUV lithography has taken far longer than anticipated and continues to this day to be challenging, successful insertion of EUV lithography into semiconductor manufacturing will bring many benefits, among which are much wider process windows and an ability to print patterns at 30 nm and smaller pitch. Even though more than 3 decades of development has been invested, a number of technical challenges still need to be met before EUVL can be used for the high volume manufacturing (HVM) of semiconductor devices. The steering committees of past International EUVL Symposia, with representatives from leading semiconductor manufacturers, EUVL consortia in Europe, Japan and the United States, and commercial EUVL exposure tool suppliers have identified and ranked the most critical EUV technical issues on a yearly basis since 2002. The current list of EUV critical issues, which was last updated and re-ranked in October 2015, includes: 1. Reliable source operation with >85% availability 2. Resist resolution, sensitivity, and LER met simultaneously 3. Mask yield and defect inspection/review infrastructure 4. Keeping masks defect free (by EUV pellicle) 2. Current status of EUV critical issues The number of installed EUV exposure tools is continuing to grow. As of February 2017, 14 fullfield EUV wafer exposure tools had been delivered to customers by ASML. These include 8 Model NXE:3300B and 6 Model NXE:3350B EUV scanners. The EUV source power is, finally, meeting target specifications but EUV source reliability still remains as the largest contributor to source/scanner down time. The source power requirements for high volume manufacturing (HVM) at the 7 nm technology node needed to support the printing of ~1000 wafers per day per exposures tool at the dose required for a product (~35 mj/cm 2 ) is 205 W at intermediate focus (IF). The data presented in Fig. 1 shows that 210 W of Received May 3, 2017 Accepted May 26, 2017 599

600 average EUV power has been obtained recently on a laser produced plasma (LPP) EUV development source at the ASML factory in Veldhoven. Fig. 1. Record of EUV source power progress over the last decade [1]. The source/scanner availability requirement for cost-effective HVM at the 7 nm technology node is 85% or higher. The combined source/scanner availability for an NXE:3350B EUV scanner at the end of the 2016 calendar year was more than 75% (4 week running average) [2] but system availability expectations will almost certainly increase once the point for manufacturing insertion arrives. The availability of a EUV photoresist that can simultaneously meet the resolution, line-width roughness (LWR), and sensitivity requirements for insertion of EUVL into manufacturing was ranked in 2015 as the second highest risk to the commercialization of EUV lithography. While no single resist material simultaneously meets resolution, LWR, and sensitivity targets for the 7 nm node, a number of materials have been developed that meet both the resolution and LWR targets. The resist resolution and LWR requirements for HVM at the 7 nm node must support the printing of 18 nm half-pitch (HP) lines and spaces (L/S) at 2.0 nm (3 ) LWR and 20 nm HP dense contact holes (CH) at 3.0 nm (3 ) LCDU. Successful printing of 13 nm HP dense L/S patterns and 20 nm HP dense CH patterns using a Model NXE:3400B scanner with leaf-shape dipole Y illumination (when printing L/S patterns) and with Quasar 45 illumination (when printing CH patterns) was reported at the 2017 SPIE Advanced Lithography Symposium in March [3]. LWR/LCDU remain the single most challenging performance parameters and, at this point, satisfactory values can only be obtained with a high aerial image contrast EUV imaging system and using very high exposure doses, because LWR/LCDU tends to be dominated by stochastics due to quantum shot noise and due to the high variability in the distribution of resist components. The use of smoothing under-layers, special rinse liquids, and/or smoothing during etch transfer will all be required to address this challenge. Avoidance of pattern collapse will require a balance between a resist film that is thick enough to support pattern transfer during etch and thin enough to avoid pattern collapse during development and rinse. The critical issue with the third highest risk for manufacturing insertion of EUV lithography is the low yield of defect-free mask blanks and the lack of the infrastructure needed for defect inspection and review. Fabrication of a defect-free EUV mask blank has been and continues to be exceedingly difficult. At the present time, the defect density on champion mask blanks continues to be dominated by defects that originate from substrate pits and bumps. The rate of progress in blank defect reduction continues to be limited by the sensitivity of available defect inspection tools. EUV mask blank defectivity requirements are zero large defects (> 60 nm SiO 2 equivalent size) and single digit total defects (>23 nm SEVD size). The data presented at the 2016 International EUVL Symposium in Hiroshima, Japan in Oct 2016 and reproduced in Fig. 2 show that the defect count on champion EUV blanks is now at single digit levels when the blanks are inspected with an actinic blank inspection (ABI) tool at 20 nm SEVD sensitivity over a 100 mm x 100 mm inspection area. Further progress in defect-free EUVL mask development will require actinic blank inspection tools with sensitivity down to 16 nm SEVD and below. Since the availability of defect-free mask-blanks are likely to remain relatively rare for the near future the fabrication of EUV masks with no printable defects will likely employ techniques for defect compensation and defect avoidance via pattern shift. All process induced mask defects, particularly those in the patterned absorber will need to be repaired and the quality of the repairs will need to be verified using an AIMS EUV tool. Imaging of Fig. 2. EUV mask blank defectivity trend [4].

programmed defects with one of the AIMS EUV tools currently being developed by Carl Zeiss in Oberkochen has been demonstrated successfully and the first of these tools have now been shipped to a customer. Actinic inspection of patterned EUV masks will likely be needed for HVM, in the interim, this requirement will be satisfied by wafer printing following by high-resolution inspection of defects on the printed wafers with optical inspection tools. Ultimately, an actinic patterned mask inspection tool will be needed in the mask shop. In 2010, on average one defect was added to the front side of a EUV mask for every 25 load/clamp/unload cycles through the EUV Alpha Demo Exposure Tool in Albany. In 2016, the front side particle adder rate for production lots in NXE:3300B scanners at Intel was ~1 defect in 20 reticle-stage-loads. In addition, significantly more particle adders continue to be found by wafer printing than by tests that monitor the particle adder rate when no EUV radiation is present. This suggests that when patterning with EUV lithography the EUV mask will need to be protected from fall-on particles with a pellicle membrane, as is the process of record for all 193 masks today. The single pass transmission of commercially available pellicle membranes is ~85%. The transmission requirement for a pellicle for use with HVM is > 90% (double pass). When a mask pellicle is present, the estimated decrease in scanner throughput due to power lost in the pellicle and gas dynamic lock membranes is ~27%. For cost effect production of semiconductor chips this decrease in scanner throughput will ultimately require a higher power EUV source, although it may be possible to expose a EUV mask without a mask pellicle. In this case, the estimated decrease in scanner utilization due to the need for frequent mask inspection and particle removal is expected to be as high as ~6% and this estimate ignores the costs associated with the decrease in mask lifetime due to the need for frequent particle removal (cleans). Enough progress on the EUVL critical issues has been made that EUV lithography can now meet 14- nm pilot line requirements and the EUV critical issues that have been actively tracked since 2002 are no longer limiting the insertion of EUV into manufacturing at the 7-nm node. Resist resolution and sensitivity are now close to spec; resist LER/LWR need some additional work. The two remaining EUVL infrastructure areas that still need attention are pellicle transmission and lifetime improvement and actinic mask inspection tool development. 3. EUV extendibility to future nodes Of all the lithographic technologies that have been evaluated as possible replacements for optical and deep-ultraviolet (DUV) projection lithography, EUV lithography has always stood out as having the greatest potential for future extendibility. According to the Rayleigh equation for resolution, CD = k 1 /NA, EUV lithography can be extended by employing one or more resolution enhancement techniques (RETs) that will allow operation at a smaller value of k 1, by using a higher numerical aperture (NA) imaging system, or by decreasing the EUV exposure wavelength ( ) below 13.5 nm. Because extensive development work will be required for each of these lithography extension options, insertion of EUV lithography in production with a full suite of RETs is unlikely before 2018-2019, EUV lithography with imaging system NAs higher than 0.33 is unlikely before 2022-23, and EUV lithography at wavelengths shorter than 13.5 nm is unlikely before 2024-26. 3.1. Resolution enhancement techniques Current efforts to extend EUV lithography make use of a variety of resolution enhancement techniques (RETs), include mask optimization (MO), which involve aggressive mask pattern corrections and advanced mask coating stacks (OPC, SRAFS, PSMs), source optimization (SO), which include a variety of off-axis-illumination (OAI) techniques and highly pixelated pupil fills, and source-mask optimization (SMO). EUV lithography faces many unique imaging challenges primarily because of the need to use reflective optics. The oblique chief ray angle and 3D topography of an EUV reflective mask introduces mask-side nontelecentricity, mask defocus detuning, and mask shadowing effects that lead to pattern- and slitdependent image shifts and biases. Fortunately, an innovative source-mask optimization (SMO) method has been developed recently that can significantly reduce edge placement error (EPE) by exploiting the adjustability of the light distribution in the pupil provided by the NXE:3300B scanner s Flex Pupil illuminator [5]. The newly developed Flex Pupil Illuminator and pattern placement aware SMO software can mitigate the H-V bias, Bossung tilt and pattern shifts due to shadowing and nontelecentricity and reduce the sensitivity to flare and may eventually be able to extend single exposure EUV lithography at NA = 0.33 to k 1 = 0.4 and below 601

[5]. 3.2. Higher NA imaging systems The 0.33 NA projection optics in current fullfield EUV exposure tools will not support the printing of features much below 13 nm HP even when coupled with the most aggressive off-axis illumination modes. Multiple patterning EUV or an EUV exposure tool with larger than 0.33 NA projection optics will be required when smaller features and tighter pitches need to be printed. While some improvement in the performance of 6- mirror reflective EUV imaging systems can be obtained by adding two additional mirrors, their presence would lower the transmission of the imaging system by more than a factor of two compared to the transmission of a 6-mirror system. In addition, the flare level of an eight-mirror imaging system would be about 30% higher than a similar design with six mirrors. The only other alternative is to reduce the angular spread on the final folding mirror in the imaging system by illuminating that mirror through a hole in the center of the final mirror and, thus, to introduce a central obscuration into the system. Such a central obscuration would have to be kept as small as possible, i.e., to no more than 20% of the pupil radius, if forbidden pitches are to be avoided. EUV masks must be illuminated at oblique incidence to allow for a separation between incidence and reflected light; incoming and reflected light cones at the mask will overlap at NAs slightly larger than 0.4. Resolving this problem would necessitate an increase in the chief ray angle at the mask (CRAO), which is currently fixed at 6 in 0.33 NA tools, or an increase in the magnification ratio of the projection optic system [6]. Any significant increase in the chief ray angle would require a new multilayer coating stack on the mask since a more aggressive shadow correction would then be required, otherwise, the increased angle would begin to have a significant impact on image quality, telecentricity and mask efficiency. Three possible strategies are available for coping with the increasingly severe 3D mask effects at higher NA: 1) adoption of a multilayer mask stack tuned for reflectivity over a broader angular bandwidth; 2) adoption of a multilayer mask stack optimized for a specific use case, e.g., for one particular set of critical pitches; or 3) continued use of the standard multilayer mask stack but adoption of projection optics with a higher magnification ratio [7]. Because the angular spread at the reticle needs to be reduced for horizontal lines and spaces (L/S) but not for vertical L/S, the magnification ratio of projection optics for use at higher NA only needs to be reduced in one direction, i.e., in the y- or scanning direction. In other words, anamorphic projection optics, with 4x magnification ratio in the x-direction and 8x magnification ratio in the y- direction, could be used to reduce the angular spread at the mask mainly in the y-direction, would support the printing of a 26 mm x 16.5 mm (half-size) image field at the wafer, retain a CRAO = 6 o, and still allow a mask with a 6 form factor to be used. Fig. 3 shows a sketch of anamorphic projection optics. While printing with a half-field EUV imaging system will in many cases require the use of stitching, the throughput for a higher NA anamorphic system could be as high as 180-300 mm wafers/hour. Fig. 3. Illustration of 4x/8x anamorphic projection optic design with a chief-ray angle = 6 o that utilizes a 6 mask and provides a 26 mm x 16.5 mm image field at the wafer [6]. 3.3. Shorter exposure wavelengths In the same way that optical and DUV projection lithography was extended by employing shorter exposure wavelengths, using different spectral lines of Hg in the early years and the output of excimer lasers at 248 nm (KrF) and 193 nm (ArF) more recently, EUV lithography could be extended by employing a wavelength shorter than the current = 13.5 nm. Viable candidates for a shorter EUV exposure wavelength are available at only a few discrete EUV wavelengths near K-, L- and M-shell absorption edges that occur at 12.5 nm (Si), 11.4 nm (Be), 6.7 nm (B), 4.4 nm (C) and 3.1 nm (Sc). A plot of the maximum reflectivity of the most efficient reflective multilayer coatings in the 3 to 14-nm wavelength range are shown in Fig. 4. Because an economically viable throughput is critically important, only ML coatings with peak reflectivities > 60% have much of a chance of being adopted, i.e., Mo/Be at 11.4 nm and La/B at 6.67 nm. Even though the calculated reflectivities of Mo/Si at 13.5 nm and La/B at 6.67 nm are similar, the 602

Fig. 4. Maximum reflectivity of the most efficient multilayer coatings in the 3 to 14-nm wavelength range [8]. reflective bandwidth of La/B at 6.67 nm is much narrower (FWHM = 0.072 nm) than the reflective bandwidth of Mo/Si at 13.5 nm (FWHM = 0.59 nm). In addition, the difference between calculated and measured reflectivity due to multilayer interface defects (roughness and inter-diffusion) is expected to be significantly larger in La/B because the La-B bilayer period is 2x smaller and the La/B interfaces are more numerous, i.e., 200 bilayers will be needed for maximum reflectivity in La/B compared to only ~40 bilayers in Mo/Si. The calculated throughput of an 11 mirror La/B ML-coated system at 6.8 nm wavelength [9] shows that such a system will have a throughput ~7x lower than an 11 mirror Mo/Si ML-coated system at 13.5 nm. Furthermore, the exposure dose required at ~6.8 nm wavelength will be higher than at 13.5 nm because of the lower resist absorption at the shorter wavelength. Given the sheer technical complexity required for a change in EUV wavelength, a new operational wavelength for EUV lithography is unlikely to be available before 2024 2026. 4. Importance of mitigating stochastic effects A surprisingly large number of presentations at the 2017 SPIE Advanced Lithography Symposium in San Jose were focused on understanding and modeling the effects of stochastics on EUV lithography. For example, some results from the numerical modeling of 10M vias from a paper presented by Robert Bristol and Marie Krysak of Intel [10] is shown in Fig. 5. Vias with a normal distribution of CDs at 30 nm (Fig. 5a) were mapped to a CD distribution with an asymmetric tail using a transfer function derived from a simple threshold model for a resist at local dose D, when the dose value has been normalized to the threshold dose, D 0 (Fig.5b). The resulting asymmetric distribution of contact hole CDs shown in Fig. 5c is expected to result in some undersize contact holes as well as a few contact holes that are completely closed. Fig. 5. Results from the numerical modelling of 10M vias, showing how vias with a normal distribution of CDs at 30 nm (a) will be mapped to a CD distribution with an asymmetric tail (c) with a transfer function based on a simple threshold model for the resist (b) [10]. Many experts believe that stochastic effects, like those that give rise to line edge roughness, will ultimately set the limits for EUV lithography [11] and that further improvements in EUV lithographic pattern quality will require overcoming all stochastic barriers [12]. Stochastic effects currently limit EUV printing to higher values of k 1 than have already been demonstrated today with 193 nm printing and, unless stochastic effects can be reduced some of the benefits expected with the adoption of high NA EUV imaging systems may be less than expected [12]. More powerful and efficient EUV light sources, e.g., that would be possible with a free-electron laser (FEL) EUV source, could help reduce the effect of photon shot noise by making more dose readily available and might lead to a quite different trade-off between LER and sensitivity. New photoresist materials with higher EUV absorption would also help. In a resist material with higher EUV absorption, as pixel size decreases with each advanced node, the number of photons per pixel would, at a minimum, stay the same. New photoresist materials with a smaller reactive volume, e.g., nanoparticle, metal oxide, and other non-car resist materials with a uniform distribution of 603

components would also help. In a resist material that has a uniform distribution of components (PAGs, acid quenchers, etc.) or that had the ultraregularity of a crystalline film might also be able to help reduce stochastic effects. 5. Summary EUVL printing is currently being used for pilot production at the 14 nm and 7 nm technology nodes. First use of EUV printing for high volume manufacturing is now anticipated late in the 2018 calendar year. Source/scanner availability is not yet at the level needed for single exposure EUV cost-of-ownership comparable to multiple patterning 193i cost of ownership at the 7 nm technology node. Resist resolution and sensitivity are close to spec; resist LER/LWR is not. LER/LWR reduction via post processing will be required. Mask blank defectivity and yield are continuously being improved. Defect repair, defect avoidance, and defect compensation techniques are still needed for finite yield of masks with zero printable defects. Actinic tools will eventually be needed for blank inspection, pattern mask inspection and defect repair verification. Topics that would benefit from additional work are mitigating mask 3D effects, imaging with higher NA projection optics, and overcoming stochastic barriers. Acknowledgements The work described and referenced in this article is the accomplishment of many excellent people that, over time, have contributed to the success of EUV. Specifically the author would like to thank, Stephen Hsu, Mark van de Kirkhof, Okay Yildirim and Carmen Zoldesi of ASML, Bernhard Kneer, Sascha Migura and Winfried Kaiser of Carl Zeiss, Sergey Yulin of Franunhofer-IOF, Timothy Brunner, Erik Hosler and Harry Levinson of GLOBALFOUNDRIES, Robert Bristol, Marie Krysak and Britt Turkot of Intel, Yuriy Platonov of Rigaku, and Seong-sue Kim of Samsung. References 1. A. Pirati, J. v. Schoot, K. Troost, R. v. Ballegoij, P. Krabbendam, J. Stroeldraijer, E. Loopstra, J. Benschop, J. Finders, H. Meiling, E. v. Setten, N. Mika, J. Driedonkx, U. Stamm, B. Kneer, B. Thuering, W. Kaiser, T. Heil, and S. Migura, Proc SPIE, 10143 (2017) 101430G. 2. B. Turkot, SPIE Advanced Lithography, 10143-1, San Jose, CA, 27 Feb 2017. 3. M. van de Kerkhof, R. van Es, H. Jasper, A. Zdravkov, F. Evangelista, E. Lenderink, L. Levasier, D. Brouns, and D. Ockwell, Proc. SPIE, 10143 (2017) 101430D 4. S.-S. Kim, Proc. SPIE, 10143 (2017) 1014306. 5. S. Hsu, R. Howell, J. Jia, H. Liu, K. Gronlund, S. Hansen, and J. Zimmerman, Proc. SPIE, 9422 (2015) 94221I. 6. S. Migura, B. Kneer, J. Neumann, W. Kaiser, and J. van Schoot, Proc. SPIE, 9661 (2015) 96610T. 7. J.-T. Neumann, P. Graupner, W. Kaiser, R. Garreis, and B. Geh, Proc. SPIE, 8522 (2012) 852211. 8. S. Yulin, T. Feigl, V. Nesterenko, M. Schurmann, M. Perske, H. Pauer, T. Fiedler, and N. Kaiser, 2012 EUV Litho Workshop, Maui, HI, 7 June 2012. 9. Y. Platonov, J. Rodriguez, M. Kriese, E. Gullikson, T. Harada, T. Watanabe, and H. Kinoshita, Proc. SPIE, 8076 (2011) 89760N. 10. R. Bristol and M. Krysak, Proc. SPIE, 10143 (2017) 101430Z. 11. C. Mack, Proc. SPIE, 7639 (2010) 76392L. 12. T. Brunner, X. Chen, A. Gabor, C. Higgins, L. Sun, and C. Mack Proc. SPIE, 10143 (2017) 101430E. 604