Nanoelectronics the Original Positronic Brain?

Similar documents
ISSCC 2003 / SESSION 1 / PLENARY / 1.1

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

NanoFabrics: : Spatial Computing Using Molecular Electronics

MICROPROCESSOR TECHNOLOGY

Selected Topics in Nanoelectronics. Danny Porath 2002

THERE ARE A number of challenges facing the semiconductor

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Neuromorphic Analog VLSI

1 Introduction

EMT 251 Introduction to IC Design

International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China

Datorstödd Elektronikkonstruktion

Power Spring /7/05 L11 Power 1

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Weebit Nano (ASX: WBT) Silicon Oxide ReRAM Technology

Efficient logic architectures for CMOL nanoelectronic circuits

White Paper Stratix III Programmable Power

Static Power and the Importance of Realistic Junction Temperature Analysis

6.012 Microelectronic Devices and Circuits

Course Outcome of M.Tech (VLSI Design)

Integration, Architecture, and Applications of 3D CMOS Memristor Circuits

Cognitronics: Resource-efficient Architectures for Cognitive Systems. Ulrich Rückert Cognitronics and Sensor Systems.

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Novel Devices and Circuits for Computing

Towards a Reconfigurable Nanocomputer Platform

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity

6.012 Microelectronic Devices and Circuits

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

It s Time to Redefine Moore s Law Again 1

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

1 Digital EE141 Integrated Circuits 2nd Introduction

FROM BRAIN RESEARCH TO FUTURE TECHNOLOGIES. Dirk Pleiter Post-H2020 Vision for HPC Workshop, Frankfurt

BASICS: TECHNOLOGIES. EEC 116, B. Baas

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Goals of this Course. CSE 473 Artificial Intelligence. AI as Science. AI as Engineering. Dieter Fox Colin Zheng

Computer Aided Design of Electronics

A Brief Introduction to Single Electron Transistors. December 18, 2011

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

CMOL CrossNets as Pattern Classifiers

Nanowire-Based Programmable Architectures

Communication is ubiquitous; communication is the central fabric of human existence.

ITRS Update (and the European situation) Mart Graef Delft University of Technology

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Introduction to Artificial Intelligence. Department of Electronic Engineering 2k10 Session - Artificial Intelligence

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations

Lecture 1 What is AI?

FIELD-PROGRAMMABLE gate array (FPGA) chips

The future of lithography and its impact on design

Memristor-CMOS Hybrid Integrated Circuits for Reconfigurable Logic

A Divide-and-Conquer Approach to Evolvable Hardware

Lecture 1 Introduction to Solid State Electronics

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Recent Trends in Semiconductor IC Device Manufacturing

Nanoelectronics and the Future of Microelectronics

Challenges of in-circuit functional timing testing of System-on-a-Chip

Practical Information

Lecture Introduction

Agenda. Digital Today Smart Power Management Tomorrow. Brief History of transistor development. Analog PWM controllers. CMOS Historical perspective

Changing the Approach to High Mask Costs

CMOL Technology Development Roadmap

Memristive Operational Amplifiers

CMOL Based Quaded Transistor NAND Gate Building Block of Robust Nano Architecture

HOW TO CONTINUE COST SCALING. Hans Lebon

Low Power Design of Successive Approximation Registers

Research Statement. Sorin Cotofana

Lecture 1, Introduction and Background

The Elusive Machine Intelligence Prof. Suash Deb

Intel Technology Journal

Thermal Management in the 3D-SiP World of the Future

1.1 Nanotechnology and nanoelectronics. The rapidly expanding fields of nanoscience and nanotechnology are within the midst of

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Digital Design and System Implementation. Overview of Physical Implementations

SMARTPHONE SENSOR BASED GESTURE RECOGNITION LIBRARY

THE INTEGRATION of nanodevices with complementary

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi.

Supplementary Materials for

A Simple Design and Implementation of Reconfigurable Neural Networks

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Semiconductor Devices

Supercomputers have become critically important tools for driving innovation and discovery

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

CS4617 Computer Architecture

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Enabling technologies for beyond exascale computing

Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

CHAPTER 1 INTRODUCTION

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 1. Tinoosh Mohsenin

Introduction to VLSI ASIC Design and Technology

On Intelligence Jeff Hawkins

Transcription:

Nanoelectronics the Original Positronic Brain? Dan Department of Electrical and Computer Engineering Portland State University 12/13/08 1

Wikipedia: A positronic brain is a fictional technological device, originally conceived by science fiction writer Isaac Asimov Its role is to serve as a central computer for a robot, and, in some unspecified way, to provide it with a form of consciousness recognizable to humans How close are we? You can judge the algorithms, in this talk I will focus on hardware and what the future might hold 12/13/08 2

Moore s Law: The number of transistors doubles every 18-24 months No discussion of computing is complete without addressing Moore s law The semiconductor industry has been following it for almost 30 years It is not really a physical law, but one of faith The fruits of a hyper-competitive $300 billion global industry Then there is Moore s lesser known 2 nd law The 1 st law requires exponentially increasing investment And what I call Moore s 3 rd law The 1 st law results in exponentially increasing design errata 12/13/08 3

Intel is now manufacturing in their new, innovative 45 nm process Effective gate lengths of 37 nm (HkMG) And they recently announced a 32 nm scaling of the 45 nm process Transistors of this size are no longer acting like ideal switches And there are other problems 45 nm Transistor 12/13/08 4

Projected Power Density Pat Gelsinger, ISSCC 2001 12/13/08 5

Performance overkill - the highest volume segments of the market are no longer performance/clock frequency driven Density overkill How do we use all these transistors? The end of Moore s law scaling will continue, though at a decreasing rate, asymptotically approaching 22nm in 10-15 years Lithography will be the primary constraint going forward The current business model based on shrinks and compactions will change dramatically 12/13/08 6

Parallelism Because of power and interconnect limitations, ever increasing processor performance will need to come more from parallel execution However, there are still few opportunities to leverage parallelism in volume market desktop applications And we have not yet solved the parallel computing problem Taking advantage of multiple cores will be much more difficult than taking advantage of faster clock speeds was 12/13/08 7

The Complexity Crisis And the complexity of systems is growing exponentially According to a recent study by the NIST, Software bugs" cost the U.S. economy an estimated $60B annually, 0.6% of the GNP In spite of the heroic efforts of computer scientists and engineers around the globe, we are slowly losing this battle As Bill Wulf said once, software is getting slower faster than hardware is getting faster 12/13/08 8

The Design Productivity Gap Complexity is a problem for hardware too (recall Moore s 3 rd law) The Gap is the difference between the number of transistors that The typical design team using state of the art tools / methodologies can design and validate on a typical schedule And what s available The Gap, therefore, results from the fact that the number of transistors is increasing faster than our ability to design them And how do we create a 100% guaranteed correct design of several billion transistors? 12/13/08 9

Post-CMOS or Nanoelectronics The industry is now talking about Post-CMOS electronics, which usually means nano or molecular electronics Can we, by moving to molecular scale electronics, buy a little more shrinkage? Is it possible? Is it economical? What will do with it? Will it enable new applications? Or will it be more of the same? And most importantly, what should the research agenda be? Will we hit the complexity or capital investment walls before Moore s law runs out? 12/13/08 10

12/13/08 11

12/13/08 12

Nanoelectronics You can get a good description of the basic candidates for molecular scale computing in the Emerging Research Devices chapter in the 2007 ITRS (the semiconductor roadmap) http://public.itrs.net/ We re mostly interested in device who computations are based on charge Charge based technologies can more closely approximate the charge accumulation model common in most functional neural models Non charged based technologies must emulate charge accumulation digitally 12/13/08 14

Of the various problems facing the semiconductor industry, which ones does nanotechnology solve? The end of Moore s law Maybe the memory bandwidth problem? Anything else? It severely aggravates the design complexity problem having trouble using billions of transistors? Well, we re going to give you trillions! Oh, and did I mention that they will be flaky and slow? It is unlikely that our tools and methodologies will stretch far enough to handle these densities 12/13/08 15

And Nanotechnology also creates a number of new problems Significant levels of signal/clock delay (asynchronous logic is suddenly looking very appealing) Loving device variability? Wait until we get to the nano-scale! Manufacturing defects at a level not seen since the earliest days of the industry High dynamic failure rates during operation Fault detection and correction circuitry as a fundamental part of every design How do we handle this in the tools? How do we test such systems? But, the $64K question is, what exactly will we use nanoelectronics for? 12/13/08 16

Can we assume that computation, algorithms, and applications will continue more or less as they have? Should we? The effective use of nanotechnology will require solutions to more than just increased density, we need to consider total system solutions And you cannot create an architecture without some sense of the applications it will execute An architecture is not an end in itself, but a tool to solve a problem Any paradigm shift in applications and architecture, and I think we are headed into one, will have a profound impact on the whole design process and the tools required 12/13/08 17

Scaling It is very likely that sheer size is one of the major components of the magic of human cognition Consider the differences: hundreds of rules or thousands of nodes vs. billions of neurons Such mega-algorithms can be run on supercomputers But how do we deploy very large networks in small portable form factors that consume very little power and operate in real time? Massive parallelism in the models enables specialized hardware 12/13/08 18

Radical new technologies create opportunities What if we could find an application space that, in addition to promising a solution to the Intelligent Computing problem, also addressed some of the other challenges facing the computer industry? One that exhibited massive parallelism low power density where performance was based on parallelism not speed tolerance of static and dynamic faults, and even some design fault tolerance asynchrony (no clock) self-organization and adaptation, rather than being programmed 12/13/08 19

We Need Nano, Nano Needs Us! The opportunity is real and it is coming! We need massively parallel algorithms to drive this effort and to justify the investment in the necessary architectures and implementation technology But, I believe that success in this area this has the potential to be the microprocessor of the 21st century Biologically inspired algorithms are better positioned to leverage this opportunity than any other application domain 12/13/08 20

The Most Promising Post-CMOS Candidate: Nanogrids On CMOS Simplistically: a nanogrid consists of A roughly horizontal group of nanowires A layer of some specialized chemical Another roughly vertical group of nanowires Connections of both groups of nanowires to CMOS metal lines Currently researchers are making wires out of silicon and other materials that are ~15 nm in diameter, eventually going to < 10 nm, with lengths up to 10 µm These are itsy bitsy wires and they have very high resistance, severely limiting their speed, but oh that density 12/13/08 21

CMOL Developed by K. Likharev, SUNY Stony Brook 12/13/08 22

The Molecular Switch Memrister Where a horizontal wire crosses a vertical wire (which is self-aligned incidentally), molecules in the molecular layer form a switchable diode The switch is created from a few molecules G. Snider Computing with hysteretic resistor crossbars, Hewlett-Packard Laboratories 12/13/08 23

CMOL 12/13/08 24

Analog Nano-CrossBar Implementation Synapse footprint: ~ 500 nm 2 Synapse density: ~ 2x10 11 cm -2 Neural cell density: ~ 5x10 7 cm -2 - + soma j jk + w jk = {-1, 0, +1} Courtesy K. Likharev - + jk soma - k

A Key Architectural Concept: Virtualization We define virtualization to be the degree of time-multiplexing of computations and communication tasks over hardware resources trading off space and time Virtualization then is about taking advantage of the dynamic behavior of the network for sharing expensive resources Sparsely connected and sparsely activated networks Generally virtualization implies a digital representation of the data, but virtualization should not be thought of as an exclusively digital technique AER (Address Event Representation) used by avlsi community 12/13/08 26

Generally Each Algorithm has its Unique sweet spot 12/13/08 27

An Exploration of the Virtualization Spectrum The Four Major Configurations studied: (a) all digital CMOS design (b) mixed-signal CMOS (2 configurations) (c) all digital hybrid CMOS/CMOL design (d) mixed-signal hybrid CMOS/CMOL design 12/13/08 28

Some Numbers These numbers were provided by Anders Lansner and his group at the Royal Institute (KTH) in Stockholm 12/13/08 29

CMOL Array Each Square is a single Auto-Associative module Nano-grid Nano-grid Nanogrid implements weight and local / non-local connection indices Nano-grid Nano-grid CMOS provides sparse inter-module connectivity, I/O, signal amplification 12/13/08 30

Preliminary Analysis: A Cortical Scale Processor 22 nm, 8 metal CMOS / Nano-grid molecular arrays, 1 inch on a side, 10 13 devices, 100 nm 2 CMOL memory cell 1700 processors fabricated, each emulating a 16K node network, for a total of: ~30M nodes, and ~400B synapses, ~300Tops(10 12 )/sec FABA Field Adaptable Bayesian Array - adapts rather than programmed to perform real-time, adaptive Bayesian inference over very complex spatial and temporal knowledge structures A wide range of applications for this type of device in robotics, the reduction and compression of widely distributed sensor data, power management 12/13/08 31

The next ten years will be an extraordinary time for electrical engineers and computer scientists The challenges of Moore s law, and the search for new ways to use our transistor bounty will lead to more experimentation in new silicon architectures, fueled in part by ideas from biological computation Understanding and mapping biological computing models to silicon and then to real applications will be very difficult but the rewards will be great 12/13/08 32

Returning to Moore s Law Bob Lucky (IEEE Spectum, Sept 98) Moore's law says there will be exponential progress and that doublings will occur every year and a half One thing about exponentials, at first they are easy, but later they become overwhelming - and we are starting to enter the overwhelming phase in semiconductors Since the invention of the transistor, there have been about 32 doublings of the technology - the first half of a chessboard What overwhelming implications await us now as we begin the second half of the board? 12/13/08 33