ASYNCHRONOUS RAM ADDRESS TRANSITION DETECTION CIRCUIT

Similar documents
A Low-Power SRAM Design Using Quiet-Bitline Architecture

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design

Electronic Circuits EE359A

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

Adiabatic Logic Circuits for Low Power, High Speed Applications

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Power And Area Optimization of Pulse Latch Shift Register

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Design of low-power, high performance flip-flops

Power-Area trade-off for Different CMOS Design Technologies

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Combinational Logic Gates in CMOS

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

A Novel Technique to Reduce Write Delay of SRAM Architectures

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

First Optional Homework Problem Set for Engineering 1630, Fall 2014

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

Domino Static Gates Final Design Report

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Design and Analysis of f2g Gate using Adiabatic Technique

Design and Implementation of High Speed Sense Amplifier for Sram

Investigation on Performance of high speed CMOS Full adder Circuits

LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE

CHAPTER 3 NEW SLEEPY- PASS GATE

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

STATIC cmos circuits are used for the vast majority of logic

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Optimization of power in different circuits using MTCMOS Technique

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

Design of Low Power Low Voltage Circuit using CMOS Ternary Logic

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

Implementation of dual stack technique for reducing leakage and dynamic power

Power Optimization for Ripple Carry Adder with Reduced Transistor Count

(12) Patent Application Publication (10) Pub. No.: US 2011/ A1

Low Power &High Speed Domino XOR Cell

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

A Novel ROM Architecture for Reducing Bubble and Metastability Errors in High Speed Flash ADCs

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

Design Analysis of 1-bit Comparator using 45nm Technology

Power Control Optimization of Code Division Multiple Access (CDMA) Systems Using the Knowledge of Battery Capacity Of the Mobile.

Switching threshold. Switch delay model. Input pattern effects on delay

A Three-Port Adiabatic Register File Suitable for Embedded Applications

Performance Analysis of A Driver Cricuit and An Input Amplifier for BCC

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

ADVANCES in NATURAL and APPLIED SCIENCES

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

Jyoti Sharma 1, Rajesh Parihar 2 1 M.Tech Scholar, CBSGIs, Jhajjar

Memory (Part 1) RAM memory

SCALING power supply has become popular in lowpower

Imaging serial interface ROM

Ultra Low Power Consumption Military Communication Systems

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

International Journal of Advance Engineering and Research Development

Module -18 Flip flops

IJMIE Volume 2, Issue 3 ISSN:

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic

Performance Comparison of High-Speed Adders Using 180nm Technology

CMOS VLSI Design (A3425)

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

電子電路. Memory and Advanced Digital Circuits

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

SRAM Read-Assist Scheme for Low Power High Performance Applications

PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR

THE content-addressable memory (CAM) is one of the most

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

Design of Two High Performance 1-Bit CMOS Full Adder Cells

Transcription:

ASYNCHRONOUS RAM ADDRESS TRANSITION DETECTION CIRCUIT MR. HIMANSHU J. SHAH 1, ASST. PROF.VIRENDRASINGH TIWARI 2 1.MTech (Dc)Student,Department Of Electronics & Communication, Sagar Institute Of Research & Technology, Bhopal, Madhyapradesh 2. Assistant Prof, Department Of Electronics & Communication, Sagar Institute Of Research & Technology, Bhopal, Madhyapradesh 1 hims.shah67@gmail.com, 2 virendrasingh1180@gmail.com ABSTRACT In an Asynchronous semiconductor memory device, the change of address is immediately responded. So it also responds to such wrong address information and internal circuit selects the wrong address information and operates corresponds to that wrong address. The ATD circuit is used to avoid such problem. When any address signal changes it generates the pulse. And during that period an internal circuit of the memory device is held in the non-operating condition in response to change in address signal. And thus responding to wrong address information which is generated due to noise or deviation of timing in address signal is prevented by using ATD circuit. Key words:- OFDM, STBC, SWTICHING, PATH FADING. 1. INTRODUCTION Pervasive computing demands that applications are capable of operation in highly dynamic environment without explicit user intervention Thus, pervasive applications are typically context-aware, to minimize user intervention To achieve context-awareness, pervasive applications need to be aware of whether contexts bear specified properties, thus being able to adapt their behavior accordingly. For example in an elderly care scenario, the application may specify a property C1: the elder has been having meals, and then he tries to take medicine, and send the elder an alarm that the medicine should be taken before meals, when the property C1 holds Among various contextual properties the application may specify, dynamic properties, which delineate the temporal evolution of the environment state, are of great importance. This is mainly due to the intrinsic dynamism of the pervasive computing environment. To cope with this dynamism, the context-aware application needs to keep a stretch of environment state evolution in sight, and use dynamic properties to delineate its concerns about the evolution. In the example above, the application is not concerned about specific status of the elder (e.g., have meal or take medicine ). Rather, it is concerned with the temporal evolution of the elder s status that he was first having meals, and tries to take medicine right after the meal. However, the specification and detection of dynamic properties is challenging, mainly due to that the computing entities in the pervasive computing environment coordinate in an asynchronous way and that the detection of contextual properties should be effectively conducted at runtime specifically, context collecting devices may not have global clocks and may run at different speeds. They heavily rely on wireless communications, which suffer from finite but arbitrary delay. Moreover, context collecting devices (usually battery-powered sensors) may postpone the dissemination of context data due to resource constraints, which also results in asynchrony. 2. ASYNCHRONOUS RAM AND ADDRESS TRANSITION DETECTOR Figure 2.1: Block Diagram of the Conventional Asynchronous Semiconductor Memory Device In this figure, AB 1, AB 2 are address buffers; RD is a row decoder; CD is a column decoder; MCA is memory cell array; I/O is an I/O gate; DBO is a data output buffer; DBi is a data input buffer R/W is a read/write controller; A0-Ai are row address signals; Aj-Am are column address signals; X0-Xk are row selection signals; Y 0 -Y l are column selection signals; Dout is an output data; Din is an input data; WE is a write enable signal. The input address lines are applied to the address buffers AB 1 and AB 2. The ISSN: 0975 6779 NOV 12 TO OCT 13 VOLUME 02, ISSUE - 02 Page 843

output of address buffers which are inverted and non inverted address lines is applied to the row and column decoder. Now according to the status of address lines the respected row (word line) and column (bit line) is selected in the memory cell array (MCA). On the other side depending on the status of the WE signal writing or reading operation is performed. For the writing operation the data Din to be written to the memory cell is passes through the input data buffer (DBi) to the I/O circuitry to the particular cell of the MCA. And for the reading operation the data stored in the memory cell is passes through the I/O circuitry to the output data buffer (DBo) to Dout. 3. ATD CIRCUIT To avoid above said problem, the change in address signal is detected and hold the internal circuit to a non-operative condition in response to any change of address signal for a specified period. And this is accomplished by the extra circuitry which is called ATD (Address Transition Detection) circuit which generates the pulse of a specified period when one of the address signal changes. This circuit relates to an asynchronous semiconductor memory device and more specifically to a semiconductor memory device which has reduced power consumption as a result of holding an internal circuit to a non-operative condition in response to any change of address signal for a specified period following the change of said address signal operation of the memory cell, let us consider the read and write operations in sequence. Assume that a 1 is stored at Q. Further assume that both bit lines are precharged to 2.5 V before the read operation is initiated. The read cycle is started by asserting the word line, enabling both pass transistors M5 and M6 after the initial word-line delay. During a correct read operation, the values stored in Q and Q! are transferred to the bit lines by leaving BL at its precharge value and by discharging BL! through M1- M5. Initially, upon the rise of the WL, the intermediate node between these two NMOS transistors, Q!, is pulled up toward the precharge value of BL!. This voltage rise of Q! must stay low enough not to cause a substantial current through the M3-M4 inverter, which in the worst case could flip the cell. It is necessary to keep the resistance of transistor M5 larger than that of M1 to prevent this from happening. To keep the node voltage from rising above the transistor threshold (of about 0.4 volt), the cell ratio must be greater than 1.2 where cell ratio (CR) is defined by CR = (W=L) 1 / (W=L) 5 For large memory arrays, it is minimum sized, he access pass transistorm5 has to be made weaker by increasing its length. And pull-up ratio (PR) of the cell is defined by PR = (W=L) 4 / (W=L) 6 Figure 3.1: ATD Circuit DESIGN OF SRAM Figure 3.1.2: Schematic of The Circuit For 6 Transistor SRAM Cell Which is lower than 1.8 to pull the node below VTn The lower PR, the lower the value of VQ. CR = M1/M5 & CR > 1:2 Where M1= (W=L)1 = 1.5 and M5 = (W=L)5 M1=M5 > 1:2 M5 < M1=1:2 M5 < 1:25 Figure 3.1.1: 6-Transistor CMOS SRAM Cell The SRAM cell should be sized as small as possible to achieve high memory densities. To understand the And PR =M4/M6 & PR < 1:8 Where M4=(W=L)4 = 12.375 and M6 = (W=L)6 M4=M6 < 1:8 ISSN: 0975 6779 NOV 12 TO OCT 13 VOLUME 02, ISSUE - 02 Page 844

M6 > M4=1:8 M6 > 6:875 So, if W5 is 240 nm and L5 is 200 nm than (W=L)5 is 1.2 which is less than 1.25 and if W6 is 1260 nm and L6 is 180 nm than (W=L)6 is 7 which is greater than 6.875. (W=L)5 = 240 nm / 200 nm = 1.2 (W=L)6 = 1260 nm / 180 nm = 7 Now as discussed the sizing of the transistors in 6T cell Ratio (CR) should be greater than 1.2 and pull-up ratio PR should be less than 1.8. Figure 4.1.1: Schematic Of The Circuit For NOR- Based Decoder With ATD Circuit Figure 3.1.3: Transient Response For Reading And Writing Of The 6T Cell Figure 3.1.3 shows the transient analysis of reading from the 6T SRAM cell and writing to the 6T SRAM cell. Transient analysis shows the waveforms for WL (word line), R!/W (read or write control line), BL (bit line) and output of the cell Q0. Here the frequency of the WL, R!/W and the BL is 1 MHz, 2 MHz and 4 MHz respectively. For reading operation R!/W is low and for writing it is high. So when R!/W is high writing operation is done and whatever the status of BL is writing to the cell and it appear at the output Q 0. Here for initial 500 ns WL is high and for 250 ns R!/W is also high. So during first 250 ns writing to the cell according to the status of BL is done. And after that only reading the status of cell is performed. Q0 is not change according to BL during reading. 4. SIMULATION RESULTS Decoder With ATD Circuit for designing the decoder with ATD circuit, it is required to generate the pulse for every transition of each address and for that ORing of each address transition detection pulse is require and for that design of the NOR gate is required. Figure 4.1.1 shows the waveforms for the decoder with ATD circuit in which each address transition is detected by generating the pulses at every address transition. In the waveform of S0 + S1 of Figure 4.1.2, width of each pulse generated at the transition of addresses by ATD circuit is indicated in nano seconds. And for this duration of time periods the decoders inactivated, so that all the outputs of decoder are zero during that period of time. Because of the delay of ATD circuit at the output of the decoder two pulses are generated. One which has max voltage level less than the switching level of the buffer and one which has max voltage level greater than the switching threshold of buffer. So, by putting buffer pulse can be avoided which is of less Vmax level than the switching threshold of buffer. Figure 4.7 and Figure 4.8 shows the transient response of the decoder with ATD circuit for address transition period of 153 ns and 152 ns (frequency of 6.54 MHz and 6.58 MHz) respectively. ISSN: 0975 6779 NOV 12 TO OCT 13 VOLUME 02, ISSUE - 02 Page 845

Figure 4.2.1: Schematic of The Circuit For AND- Based Decoder Without ATD Circuit In AND schematic two pmos is connected in parallel so the size of these both the pmos (W=L)p is same as that of the inverter pmos which is 12.375. And two nmos is connected in series so size of both the nmos (W=L)n is double than that of the inverter which is 2 * 1:5 = 3. Figure 4.9 shows the AND schematic in which the Wn = 180 nm. So, W/L ratio of each transistor is same as the multiplication factor with the Wn which is indicated besides each transistor Figure 4.1.2: Transient Response For Decoder With ATD Circuit For Address Transition Frequency Of 6.54 MHz (T=153 ns) SRAM without ATD Circuit For designing the SRAM, ANDing of the decoder output with R/W signal is required and for that design of the AND gate is required which is discussed as given below. Figure 4.2.2 shows the waveforms for the SRAM without ATD circuit in which address A1 has noise which has the pulse width of 100 ns. This noise is decoded as a new address by the row decoder and it responds to this wrong address. And according to that corresponding output of the decoder D2 RD goes high which is generated due to noise. The R/W signal is high for the 1.9 ns so for that duration of signal writing operation is performed to the selected cell according to the status of BL. ISSN: 0975 6779 NOV 12 TO OCT 13 VOLUME 02, ISSUE - 02 Page 846

[2] Current Sensing Completion Detection In Dual- Rail Asynchronous Systems Luk aˇs Nagy & Viera Stopjakov a,2012 [3] Providing e-transaction Guarantees in Asynchronous Systems with No Assumptions on the Accuracy of Failure Detection Paolo Romano and Francesco Quaglia, JANUARY-FEBRUARY 2011 [4] Shiv Shankar Mishra, Adarsh Kumar Agrawal and R.K. Nagaria, A comparative performance analysis of various CMOS design techniques for XOR and XNOR circuits, International Journal on emerging Technologies, Fab 2010. [5] Dr. Jackson Hu, Recent Business Model and technology Trends and Their Impact on the Semiconductor Industry, IEEE Asian Solid State conferences, Nov 2007. [6] Jan M. Rabaey & Anantha Chandrakasan, Digital Integrated Circuits A Design Perspective, 2nd ed., Pearson Education, Inc., as Pearson Prentice Hall, 2009. [7] Hisatada Miyatake, Ohtsu (JP), Asynchronous Semiconductor Memory, United States Patent, Prior Publication Data, Sept. 1, 2009. [8] 256 Mb x32 Synchronous DRAM, Micron Technology Inc., 2003 Figure 4.2.2: Transient Response for SRAM without ATD Circuit 5. CONCLUSION In this work, an ATD circuit was designed to suppress the effect of noise on the address line in cadence virtuoso environment. The ATD circuit was sound to support a address change frequency up to 3.26 MHz. The testing was done on a 4*4 asynchronous SRAM core and the functionality of the circuit was successfully verified. The test circuit of 4*4 SRAM core was also designed in same environment. All the simulations were done for 180 nm technology node. REFERENCES [1] Formal Specification and Runtime Detection of Dynamic Properties in Asynchronous Pervasive Computing Environments Yiling Yang, Yu Huang, Member, IEEE, Jiannong Cao, Senior Member, IEEE, Xiaoxing Ma, Member, IEEE, and Jian Lu,2012 ISSN: 0975 6779 NOV 12 TO OCT 13 VOLUME 02, ISSUE - 02 Page 847