Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Size: px
Start display at page:

Download "Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here"

Transcription

1 Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics products or services. Internal or personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution must be obtained from the IEEE by writing to

2 Ultra Low-Power Sensor Node for Wireless Health Monitoring System T. Hui Teo, Gin Kooi Lim, Darwin Sutomo David, Kuo Hwi Tan, Pradeep Kumar Gopalakrishnan, Rajnder Singh Institute of Microelectronics Singapore Abstract Ultra low power sensor node for wireless health monitoring system was designed and implemented in 0.18-µm CMOS. The sensor node functions as an interface circuit to both sensor and RF transceiver. The sensor node consists of an amplifier, an ADC (analog-to-digital converter) as well as digital system. The digital system is embedded with DSP (digital signal processing) for heart rate processing and RF interface for transceiver. The sensor node draws a total current of 7.5-µA from a 0.9-V single supply. The decoding scheme in the RF transceiver can tolerate up to ±22% clock frequency variation. I. INTRODUCTION Providing basic health monitoring services has became a necessity as the population grows older and more elderly people are living alone. It is important to develop sensor nodes which are the most critical components of a health monitoring system as shown in Figure 1. Body signal is extracted by the sensor node and transmit to the personal server. Health information of a person can be monitored thru the health care network. Many sensor nodes can share the same personal server. Thus, the sensor node should be at low cost and low power for wide adaptation. digitally in the sensor node before the results are transmitted to the rest of the system. This approach is different from monitoring a parameter and transmitting the entire data thru the wireless communication system, which is not power efficient since RF data transmission requires large power. The computation which is performed here is heart count. Cardiac application is selected due to the fact that CVD (cardio-vascular diseases) are the leading course of death in most of the countries, [1]. Information from cardiac, such as heart rate, heart rate/period variability, total heart count and etc. is important for CVD prevention, diagnostic, and treatment, [2]. Computation at sensor node, however, poses challenges in designing low power DSP and the sensor interface signal conditioning circuits. This paper is organized as follow. Section II describes the system and circuits design of the sensor node. It is followed by the measured results of the sensor node, in Section III. Conclusion and discussion are drawn in Section IV. Figure 2. Sensor node blocks diagram. Figure 1. Wireless health monitoring system. This work presents integrated circuits and system design for sensor node, including analog and digital blocks in creating a RF data link. To minimize the power consumption of the sensor node, some of the computations are performed II. SYSTEM, ARCHITECTURE AND CIRCUITS IMPLEMENTATION The sensor node is powered by a battery which should be smaller in size, such as Zinc-air battery. In this case, ultra low power is required. The best way of reducing the power consumption of a particular circuit is to operate the circuit at low voltage. The operating supply voltage is thus set at 0.9-V in this work /07 $ IEEE. 2363

3 The block diagram of the sensor node is depicted in Figure 2. The sensor interface signal conditioning circuits consist of a low power amplifier and ADC. The amplifier increases the SNR (signal to noise ratio) of the signal provided by the external sensor. ADC converts the analog signal to digital signal to be processed by the DSP unit. The sensor node communicates with the transceiver thru the RF interface. A transceiver is required for receive and transmit data with personal server. Since only low data rate is to be transmitted, wireless standards such as, ZigBee can be used. However, only sensor node design is described in this paper. At 0.9-V, the ADC consumes 3.6-µA with 1-kHz clock frequency and 6-bit resolution. Figure 5. Offset compensated comparator. Figure 3. Amplifier. A. Amplifier The amplifier is required to drive large capacitive load at the input of the ADC. To ensure amplifier s stability and driving capability, 2-stage common source amplifier with fewest internal nodes is used. It operates in sub-threshold region to minimize the current consumption at low voltage supply. Closed-loop charge amplifier topology with MOS- Bipolar pseudo-resistor is then adopted to set the gain and bandwidth, [3-4]. The schematic of the amplifier is shown in Figure 3. This amplifier consumes 0.9-µA at 0.9-V, a close loop gain of 14-dB with achievable bandwidth of 4.5-kHz, while driving a load capacitance of 80-pF. Figure 6. Programmable relaxation oscillator. C. Oscillator and Current Reference One of the challenges in this sensor node design is to have self-generated reference clock since no accurate external clock is available. In this case, all the circuitries in the sensor node have to tolerant to large clock frequency variation. However, clock with approximately 50% duty cycle is required. Thus, programmable relaxation oscillator is used as the clock generator, Figure 6, [9]. The oscillation frequency is programmed through 2-bit control signal at the current source/sink. Simple single stage common source amplifiers are used as the comparators. At low supply voltage, the input transistors of the comparators are body biased to reduce their threshold voltages. The comparators are also operating in sub-threshold region. TABLE I MEASURED OSCILLATION FREQUENCY OF THE PROGRAMMABLE RELAXATION OSCILLATOR Figure 4. Charge redistribution SAR ADC. B. ADC SAR (successive approximation register) ADC was implemented to achieve minimum possible current consumption for analog to digital signal conversion, [5-8]. Charge re-distribution SAR ADC which utilizes only capacitor array and single comparator is adopted for medium resolution and low convention rate. Figure 4 shows the SAR ADC block diagram. Offset compensated comparator is used in this ADC as shown in Figure 5, two cascaded preamplifiers are used to minimize the offset. Control bits Oscillation frequency MHz MHz MHz MHz On-chip current reference is also included to provide biasing current to all the active circuits. The measured current consumption of the oscillators and the current reference are 1.0-µA and 0.5-µA respectively. The measured oscillation frequency is summarized in TABLE I, which shows approximately 0.40-MHz programmability per bit. 2364

4 D. Digital System The digital system contains digital base-band, DSP, and system control. The digital base-band performs CRC generation and encoding/decoding of the transmission data. CRC-8 polynomial x 8 +x 2 +x+1, is used in implementing the CRC checks, [10]. Manchester data format is used as a form of the transmission of the data. Since logic high in Manchester is presented as transition from low to high and logic low is presented as transition from high to low, the encoding of the data can simply be done using a XOR gate. On the other hand, XOR gate can also be used as a Manchester decoder. However, due to large variation in clock frequency used in the system, a divided-by-six Johnson counter is implemented in the decoding scheme to tolerate the clock frequency variation up to ±25%. temperatures (-40 o C, 25 o C, 85 o C), which is approximately ±22% variation. TABLE II CURRENT DISTRIBUTION OF CIRCUIT BLOCKS IN SENSOR NODE AT 0.9-V Blocks Current Core Area Amplifier 0.9-µA 150-µm 300-µm ADC 3.6-µA 600-µm 600-µm Current Reference 0.5-µA 140-µm 250-µm Programmable Oscillator 1.1-µA 90-µm 70-µm Digital System 1.0-µA 320-µm 320-µm III. MEASURED RESULTS The sensor node is implemented in 0.18-µm CMOS technology. Normal operation supply voltage of this technology is 1.8-V. However, the whole sensor node is operating at 0.9-V including both analog and digital blocks. The current consumption as well as active area for various blocks in the sensor node is summarized in TABLE II. The sensor node consumes approximately 7.5-µA when it is used to measure the heart rate. Measurement was carried out human samples for sensor node functionality and performance validation. Figure 7. Architecture of heart rate counter. A power efficient adaptive threshold peak detecting scheme was developed in calculation of the heart rate. A reference level is first detected and the following signal amplitude above reference level and threshold is counted as on peak. The threshold is initially set at a predefined level. With respect to the first peak level, the threshold is then reset to 75% of the particular peak. This threshold value is refreshed according to every peak value, which is particularly an adaptive threshold. The detailed implementation of the heart rate counter is depicted in Figure 7. The main advantage of using this technique is that no analogue or digital filters are required. Thus the power consumption is minimized, since the digital filter implementation at these frequencies requires large number of taps. The whole digital system is designed using Verilog- HDL. At 0.9-V most digital cell has to be operated at subthreshold region. In this case, the synthesis process needs to consider only logic gate with minimum inputs and minimum current consumption. In other words, stringent power constraints are applied. The total current consumption of the whole digital system was measured to be 1.1-µA at 0.9-V during heart rate measurement. The decoding scheme can tolerate clock frequency variation from 700-kHz to 1.1-MHz at various supply voltages (0.9-V, 1.2-V, 1.4-V), and Figure 8. Sensor node evaluation setup. Figure 9. Snapshot of arterial pulse waveform at the output of the amplifier. The functionality validation of the sensor node chip is accomplished with the assistant of FPGA board which acts as a wired personal server. The test setup is illustrated in Figure 8, T X, R X represents encoded and decoding signals respectively. At normal operation, T X is heart count and R X is instructions from the server, such as start/stop count. In this work, the sensor node is used for real time heart count. Incorporate with personal server, heart rate, heart rate/period 2365

5 variability, and total heart count can also be measured. Direct transmission of the raw data is also possible by bypassing the DSP, this option is only enable when it is required. For heart count, it is measured on the arterial pulse wave which is depicted in Figure 9. This signal is measured at the output of the amplifier. Note that the peak-to-peak period is approximately 800mSec. The corresponding total heart count in 60-Sec from the DSP is 75, which is consistent with the counting on the pulse wave. Heart rate is obtained by averaging the total heart count. The integrated chip of the sensor node is depicted in Figure 10. The active die area of the sensor node is approximately 1000-µm 700-µm. Figure 10. Integrated sensor node microphotograph (Amp: amplifier, Ref: current reference). IV. CONCLUSION An ultra low power sensor node for heart rate sensor is designed and demonstrated in 0.18-µm CMOS. By operating both analog and digital blocks in sub-threshold region, the sensor node consumes 7.5-µA from 0.9-V supply voltage. This ultra low power characteristic makes the sensor node a suitable candidate for wireless health monitoring system. Heart rate counting was selected as a test vehicle for the sensor node application. ACKNOWLEDGMENT The authors would like to thank Li Qiang, Ade Putra, Liu Haiqi and Chew Song Lin for various technical discussions and consultancy on test setup. We would like to acknowledge the support from ICS support team. REFERENCES [1] [2] R. Barbieri, E. C. Matten, and E. N. Brown, Instantaneous monitoring of heart rate variability, Proceedings of the 25 th Annual International Conference of the IEEE Engineering in Medicine and Biology Society 2003, vol. 1, pp , September [3] G. K. Lim, and T. Hui Teo, A low-power low-voltage amplifier for heart rate sensor, Proceeding of 2006 IEEE Asia Pacific Conference on Circuits and Systems, pp , December [4] R. R. Harrison, and C. Cameron, A low-power, low-noise CMOS amplifier for neural recording applications, IEEE Journal of Solid- State Circuits, vol. 38, no. 6, pp , June [5] M. D. Scott, B. E. Boser, and K. S. J. Pister, An ultralow energy ADC for smart dust, IEEE Journal of Solid-State Csircuits, vol. 38, no.7, pp , July [6] H. Mulyadi, T. Hui Teo, J. Anuj, and K. Hu, 1.2V subranging dynamic reference flash ADC in 0.18µm CMOS process, Symposium on Microelectronics 2006, September [7] K. Hu, T. Hui Teo, J. Anuj, and H. Mulyadi, A cyclic ADC with modified RSD-based digital correction, Symposium on Microelectronics 2006, September [8] J. Anuj, T. Hui Teo, K. Hu, and H. Mulyadi, Digital error correction for a pipelined ADC, Symposium on Microelectronics 2006, September [9] T. H. Teo, E. S. Khoo, and D. Uday, On-chip automatic frequency tuning circuit using relaxation oscillator, Proceedings of the 21 st IEEE NORCHIP Conference, pp , November [10] P. Koopman, and T. Chakravarty, Cyclic Redundancy Code (CRC) polynomial selection for embedded networks, 2004 International Conference on Dependable System and Networks, pp , June

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network

An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network Internatıonal Journal of Natural and Engineering Sciences 7 (2): 38-42, 213 ISSN: 137-1149, E-ISSN: 2146-86, www.nobel.gen.tr An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network

More information

Wirelessly Powered Sensor Transponder for UHF RFID

Wirelessly Powered Sensor Transponder for UHF RFID Wirelessly Powered Sensor Transponder for UHF RFID In: Proceedings of Transducers & Eurosensors 07 Conference. Lyon, France, June 10 14, 2007, pp. 73 76. 2007 IEEE. Reprinted with permission from the publisher.

More information

Implementing a 5-bit Folding and Interpolating Analog to Digital Converter

Implementing a 5-bit Folding and Interpolating Analog to Digital Converter Implementing a 5-bit Folding and Interpolating Analog to Digital Converter Zachary A Pfeffer (pfefferz@colorado.edu) Department of Electrical and Computer Engineering University of Colorado, Boulder CO

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

LOW POWER CMOS CIRCUIT DESIGN FOR R WAVE DETECTION AND SHAPING IN ECG

LOW POWER CMOS CIRCUIT DESIGN FOR R WAVE DETECTION AND SHAPING IN ECG LOW POWER CMOS CIRCUIT DESIGN FOR R WAVE DETECTION AND SHAPING IN ECG D. Hari Priya 1, A. S. C. S. Sastry 1 and K. S. Rao 2 1 K L University, Andhra Pradesh, India 2 Department of Electronics and Communication

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

EECS 140/240A Final Project spec, version 1 Spring 17. FINAL DESIGN due Monday, 5/1/2017 9am

EECS 140/240A Final Project spec, version 1 Spring 17. FINAL DESIGN due Monday, 5/1/2017 9am EECS 140/240A Final Project spec, version 1 Spring 17 FINAL DESIGN due Monday, 5/1/2017 9am 1 1.2 no layout? XC? Golden Bear Circuits is working on its next exciting circuit product. This is a mixedsignal

More information

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies A. Pena Perez, V.R. Gonzalez- Diaz, and F. Maloberti, ΣΔ Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies, IEEE Proceeding of Latin American Symposium on Circuits and Systems, Feb.

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

A Simple On-Chip Automatic Tuning Circuit for Continuous-Time Filter

A Simple On-Chip Automatic Tuning Circuit for Continuous-Time Filter Int. J. Communications, Network and System Sciences, 010, 3, 66-71 doi:10.436/ijcns.010.31009 Published Online January 010 (http://www.scirp.org/journal/ijcns/). A Simple On-Chip Automatic Tuning Circuit

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme 78 Hyeopgoo eo : A NEW CAPACITIVE CIRCUIT USING MODIFIED CHARGE TRANSFER SCHEME A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme Hyeopgoo eo, Member, KIMICS Abstract This paper proposes

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

A Study on Comparator and Offset Calibration Techniques in High Speed Nyquist ADCs. Chi Hang Chan, Ivor

A Study on Comparator and Offset Calibration Techniques in High Speed Nyquist ADCs. Chi Hang Chan, Ivor A Study on Comparator and Offset Calibration Techniques in High Speed Nyquist ADCs by Chi Hang Chan, Ivor Master in Electrical and Electronics Engineering 2011 Faculty of Science and Technology University

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

A Novel Low Power Profile for Mixed-Signal Design of SARADC

A Novel Low Power Profile for Mixed-Signal Design of SARADC Electrical and Electronic Engineering 2012, 2(2): 82-87 DOI: 10.5923/j.eee.20120202.15 A Novel Low Power Profile for Mixed-Signal Design of SARADC Saeed Roshani 1,*, Sobhan Roshani 1, Mohammad B. Ghaznavi

More information

A Micro-Power Mixed Signal IC for Battery-Operated Burglar Alarm Systems

A Micro-Power Mixed Signal IC for Battery-Operated Burglar Alarm Systems A Micro-Power Mixed Signal IC for Battery-Operated Burglar Alarm Systems Silvio Bolliri Microelectronic Laboratory, Department of Electrical and Electronic Engineering University of Cagliari bolliri@diee.unica.it

More information

Design of Low Power Preamplifier Latch Based Comparator

Design of Low Power Preamplifier Latch Based Comparator Design of Low Power Preamplifier Latch Based Comparator Siddharth Bhat SRM University India siddharth.bhat05@gmail.com Shubham Choudhary SRM University India shubham.choudhary8065@gmail.com Jayakumar Selvakumar

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter Quentin Diduck, Martin Margala * Electrical and Computer Engineering Department 526 Computer Studies Bldg., PO Box 270231 University

More information

CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator

CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator Wonseok Oh a), Praveen Nadimpalli, and Dharma Kadam RF Micro Devices Inc., 6825 W.

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

SG2525A SG3525A REGULATING PULSE WIDTH MODULATORS

SG2525A SG3525A REGULATING PULSE WIDTH MODULATORS SG2525A SG3525A REGULATING PULSE WIDTH MODULATORS 8 TO 35 V OPERATION 5.1 V REFERENCE TRIMMED TO ± 1 % 100 Hz TO 500 KHz OSCILLATOR RANGE SEPARATE OSCILLATOR SYNC TERMINAL ADJUSTABLE DEADTIME CONTROL INTERNAL

More information

Performance Analysis of A Driver Cricuit and An Input Amplifier for BCC

Performance Analysis of A Driver Cricuit and An Input Amplifier for BCC American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-02, Issue-11, pp-252-259 www.ajer.org Research Paper Open Access Performance Analysis of A Driver Cricuit and

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

Design and Simulation of Low Dropout Regulator

Design and Simulation of Low Dropout Regulator Design and Simulation of Low Dropout Regulator Chaitra S Kumar 1, K Sujatha 2 1 MTech Student, Department of Electronics, BMSCE, Bangalore, India 2 Assistant Professor, Department of Electronics, BMSCE,

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 822 827 Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Minkyu Je, Kyungmi Lee, Joonho

More information

A high PSRR Class-D audio amplifier IC based on a self-adjusting voltage reference

A high PSRR Class-D audio amplifier IC based on a self-adjusting voltage reference A high PSRR Class-D audio amplifier IC based on a self-adjusting voltage reference Alexandre Huffenus, Gaël Pillonnet, Nacer Abouchi, Frédéric Goutti, Vincent Rabary, Robert Cittadini To cite this version:

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

A Very Fast and Low- power Time- discrete Spread- spectrum Signal Generator

A Very Fast and Low- power Time- discrete Spread- spectrum Signal Generator A. Cabrini, A. Carbonini, I. Galdi, F. Maloberti: "A ery Fast and Low-power Time-discrete Spread-spectrum Signal Generator"; IEEE Northeast Workshop on Circuits and Systems, NEWCAS 007, Montreal, 5-8 August

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

A high speed and low power CMOS current comparator for photon counting systems

A high speed and low power CMOS current comparator for photon counting systems F. Borghetti, L. Farina, P. Malcovati, F. Maloberti: "A high speed and low power CMOS current comparator for photon counting systems"; Proc. of the 2004 Int. Symposium on Circuits and Systems, ISCAS 2004,

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

[Chaudhari, 3(3): March, 2014] ISSN: Impact Factor: 1.852

[Chaudhari, 3(3): March, 2014] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design and Implementation of 1-bit Pipeline ADC in 0.18um CMOS Technology Bharti D.Chaudhari *1, Priyesh P.Gandh i2 *1 PG Student,

More information

Current-mode PWM controller

Current-mode PWM controller DESCRIPTION The is available in an 8-Pin mini-dip the necessary features to implement off-line, fixed-frequency current-mode control schemes with a minimal external parts count. This technique results

More information

High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology

High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology by Kai Liu, Robert C Frye* and Billy Ahn STATS ChipPAC, Inc, Tempe AZ, 85284, USA, *RF Design Consulting, LLC,

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

PG Scholar, Electronics (VLSI Design), PEC University of Technology, Chandigarh, India

PG Scholar, Electronics (VLSI Design), PEC University of Technology, Chandigarh, India A Low Power 4 Bit Successive Approximation Analog-To-Digital Converter Using 180nm Technology Jasbir Kaur 1, Praveen Kumar 2 1 Assistant Professor, ECE Department, PEC University of Technology, Chandigarh,

More information

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System Maxim > Design Support > Technical Documents > User Guides > APP 3910 Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System USER GUIDE 3910 User's

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

Design for MOSIS Education Program

Design for MOSIS Education Program Design for MOSIS Education Program (Research) T46C-AE Project Title Low Voltage Analog Building Block Prepared by: C. Durisety, S. Chen, B. Blalock, S. Islam Institution: Department of Electrical and Computer

More information

This is an electronic reprint of the original article. This reprint may differ from the original in pagination and typographic detail.

This is an electronic reprint of the original article. This reprint may differ from the original in pagination and typographic detail. Powered by TCPDF (www.tcpdf.org) This is an electronic reprint of the original article. This reprint may differ from the original in pagination and typographic detail. Olabode, Olaitan; Unnikrishnan, Vishnu;

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection Hamid Nejati and Mahmood Barangi 4/14/2010 Outline Introduction System level block diagram Compressive

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

Advanced Regulating Pulse Width Modulators

Advanced Regulating Pulse Width Modulators Advanced Regulating Pulse Width Modulators FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for Single-ended or Push-pull Applications Low Standby Current 8mA Typical Interchangeable with

More information

Study of High Speed Buffer Amplifier using Microwind

Study of High Speed Buffer Amplifier using Microwind Study of High Speed Buffer Amplifier using Microwind Amrita Shukla M Tech Scholar NIIST Bhopal, India Puran Gaur HOD, NIIST Bhopal India Braj Bihari Soni Asst. Prof. NIIST Bhopal India ABSTRACT This paper

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Triple boundary multiphase with predictive interleaving technique for switched capacitor DC-DC converter

More information

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d Applied Mechanics and Materials Online: 2013-06-27 ISSN: 1662-7482, Vol. 329, pp 416-420 doi:10.4028/www.scientific.net/amm.329.416 2013 Trans Tech Publications, Switzerland A low-if 2.4 GHz Integrated

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

A Low Power High Sensitivity CMOS Multivibrator Based Voltage to Frequency Convertor

A Low Power High Sensitivity CMOS Multivibrator Based Voltage to Frequency Convertor A Low Power High Sensitivity CMOS Multivibrator Based Voltage to Frequency Convertor Lesni.P. S 1, Rooha Razmid Ahamed 2 Student, Department of Electronics and Communication, RSET, Kochi, India 1 Assistant

More information

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Analog I/O ECE 153B Sensor & Peripheral Interface Design Introduction Anytime we need to monitor or control analog signals with a digital system, we require analogto-digital (ADC) and digital-to-analog

More information

A New Design Technique of CMOS Current Feed Back Operational Amplifier (CFOA)

A New Design Technique of CMOS Current Feed Back Operational Amplifier (CFOA) Circuits and Systems, 2013, 4, 11-15 http://dx.doi.org/10.4236/cs.2013.41003 Published Online January 2013 (http://www.scirp.org/journal/cs) A New Design Technique of CMOS Current Feed Back Operational

More information

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820 8-Bit, high-speed, µp-compatible A/D converter with DESCRIPTION By using a half-flash conversion technique, the 8-bit CMOS A/D offers a 1.5µs conversion time while dissipating a maximum 75mW of power.

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

Ultra Low Power, High resolution ADC for Biomedical Applications

Ultra Low Power, High resolution ADC for Biomedical Applications Ultra Low Power, High resolution ADC for Biomedical Applications L. Hiremath, V. Mallapur, A. Stojcevski, J. Singh, H.P. Le, A. Zayegh Faculty of Science Engineering & Technology Victoria University, P.O.BOX

More information

Advanced Regulating Pulse Width Modulators

Advanced Regulating Pulse Width Modulators Advanced Regulating Pulse Width Modulators FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for Single-ended or Push-pull Applications Low Standby Current 8mA Typical Interchangeable with

More information

Fast IC Power Transistor with Thermal Protection

Fast IC Power Transistor with Thermal Protection Fast IC Power Transistor with Thermal Protection Introduction Overload protection is perhaps most necessary in power circuitry. This is shown by recent trends in power transistor technology. Safe-area,

More information

ECE 6770 FINAL PROJECT

ECE 6770 FINAL PROJECT ECE 6770 FINAL PROJECT POINT TO POINT COMMUNICATION SYSTEM Submitted By: Omkar Iyer (Omkar_iyer82@yahoo.com) Vamsi K. Mudarapu (m_vamsi_krishna@yahoo.com) MOTIVATION Often in the real world we have situations

More information

MAINTENANCE MANUAL AUDIO BOARDS 19D902188G1, G2 & G3

MAINTENANCE MANUAL AUDIO BOARDS 19D902188G1, G2 & G3 B MAINTENANCE MANUAL AUDIO BOARDS 19D902188G1, G2 & G3 TABLE OF CONTENTS Page Front Cover DESCRIPTION............................................... CIRCUIT ANALYSIS............................................

More information

Design Of A Comparator For Pipelined A/D Converter

Design Of A Comparator For Pipelined A/D Converter Design Of A Comparator For Pipelined A/D Converter Ms. Supriya Ganvir, Mr. Sheetesh Sad ABSTRACT`- This project reveals the design of a comparator for pipeline ADC. These comparator is designed using preamplifier

More information

An 8-Channel General-Purpose Analog Front- End for Biopotential Signal Measurement

An 8-Channel General-Purpose Analog Front- End for Biopotential Signal Measurement An 8-Channel General-Purpose Analog Front- End for Biopotential Signal Measurement Group 4: Jinming Hu, Xue Yang, Zengweijie Chen, Hang Yang (auditing) 1. System Specifications & Structure 2. Chopper Low-Noise

More information

Electronics A/D and D/A converters

Electronics A/D and D/A converters Electronics A/D and D/A converters Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED December 1, 2014 1 / 26 Introduction The world is analog, signal processing nowadays is

More information

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters Circuits and Systems, 2011, 2, 183-189 doi:10.4236/cs.2011.23026 Published Online July 2011 (http://www.scirp.org/journal/cs) An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application

More information

A PIPELINE VOLTAGE-TO-TIME CONVERTER FOR HIGH RESOLUTION SIGNAL EXTRACTION OFF-CHIP

A PIPELINE VOLTAGE-TO-TIME CONVERTER FOR HIGH RESOLUTION SIGNAL EXTRACTION OFF-CHIP A PIPELINE VOLTAGE-TO-TIME CONVERTER FOR HIGH REOLUTION IGNAL EXTRACTION OFF-CHIP John Hogan *, Ronan Farrell Department of Electronic Engineering National University of Ireland, Maynooth * jhogan@eeng.may.ie,

More information

Maximum data rate: 50 MBaud Data rate range: ±15% Lock-in time: 1 bit

Maximum data rate: 50 MBaud Data rate range: ±15% Lock-in time: 1 bit MONOLITHIC MANCHESTER ENCODER/DECODER (SERIES 3D7503) FEATURES 3D7503 data 3 delay devices, inc. PACKAGES All-silicon, low-power CMOS technology CIN 1 14 Encoder and decoder function independently Encoder

More information

High Speed Flash Analog to Digital Converters

High Speed Flash Analog to Digital Converters ECE 551, Analog Integrated Circuit Design, High Speed Flash ADCs, Dec 2005 1 High Speed Flash Analog to Digital Converters Alireza Mahmoodi Abstract Flash analog-to-digital converters, also known as parallel

More information

MICROWIND2 DSCH2 8. Converters /11/00

MICROWIND2 DSCH2 8. Converters /11/00 8-9 05/11/00 Fig. 8-7. Effect of sampling The effect of sample and hold is illustrated in figure 8-7. When sampling, the transmission gate is turned on so that the sampled data DataOut reaches the value

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive 1 The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive approximation converter. 2 3 The idea of sampling is fully covered

More information

VLSI Chip Design Project TSEK01

VLSI Chip Design Project TSEK01 VLSI Chip Design Project TSEK01 Project description and requirement specification Version 1.0 Project: 250mW ISM Band Class D/E Power Amplifier Project number: 4 Project Group: Name Project members Telephone

More information

Advanced Regulating Pulse Width Modulators

Advanced Regulating Pulse Width Modulators Advanced Regulating Pulse Width Modulators FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for Single-ended or Push-pull Applications Low Standby Current 8mA Typical Interchangeable with

More information

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier Kehul A. Shah 1, N.M.Devashrayee 2 1(Associative Prof., Department of Electronics and Communication,

More information

ADC Bit µp Compatible A/D Converter

ADC Bit µp Compatible A/D Converter ADC1001 10-Bit µp Compatible A/D Converter General Description The ADC1001 is a CMOS, 10-bit successive approximation A/D converter. The 20-pin ADC1001 is pin compatible with the ADC0801 8-bit A/D family.

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology

Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology Ravi Kumar 1, Seema Kanathe 2 ¹PG Scholar, Department of Electronics and Communication, Suresh GyanVihar University, Jaipur, India ²Assistant

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

Design of Successive Approximation Analog to Digital Converter with Modified DAC

Design of Successive Approximation Analog to Digital Converter with Modified DAC Design of Successive Approximation Analog to Digital Converter with Modified DAC Nikhil A. Bobade Dr. Mahendra A. Gaikwad Prof. Jayshri D. Dhande Dept. of Electronics Professor Assistant Professor Nagpur

More information