Distributed Power Delivery for Energy Efficient and Low Power Systems

Size: px
Start display at page:

Download "Distributed Power Delivery for Energy Efficient and Low Power Systems"

Transcription

1 Distributed Power Delivery for Energy Efficient and Low Power Systes Selçuk Köse Departent of Electrical Engineering University of South Florida Tapa, Florida Eby G. Friedan Departent of Electrical and Coputer Engineering University of Rochester Rochester, New York Abstract With the introduction of ultra-sall on-chip voltage regulators, novel design ethodologies are needed to deterine the location of these on-chip power supplies and decoupling capacitors. In this paper, the optial location of the power supplies and decoupling capacitors is deterined for different size and nuber of coponents. Facility location probles are applied to deterine the optiu location of power supplies and decoupling capacitors in the proposed ethodology. I. INTRODUCTION Power consuption has becoe one of the priary design bottlenecks with the proliferation of obile devices as well as server fars where the perforance per watt is the priary benchark [1], [2]. The power generated and regulated by the off-chip and on-chip voltage regulators is distributed to billions of load circuits throughout a power distribution syste. Due to the parasitic ipedances of the power distribution networks, voltage fluctuations in the supply voltage occur. These fluctuations depend on the characteristics of the load current deand and the behavior of the power distribution network. The power supplies are also supported by locally distributed decoupling capacitors which serve as a reservoir of charge to provide current to the load circuits [3]. The coplexity of the high perforance power delivery systes has increased significantly with the integration of diverse technologies on a single die, foring an heterogeneous syste. The required supply voltage levels and the noise constraints vary significantly for different technologies. Novel voltage regulator topologies [4] [10] have recently been proposed, enabling not only on-chip power supply integration but also ultiple on-chip point-ofload power supplies [10] [12]. These on-chip point-of-load power supplies provide the required voltage close to the load circuits, greatly reducing the effective ipedance between the load circuits and power supplies [13]. Next generation power delivery networks for heterogeneous circuits will contain tens to hundreds of on-chip power supplies supported by thousands of on-chip decoupling capacitors to satisfy the current deand of billions of load circuits. The design of these coplex systes would be enhanced This research is supported in part by the National Science Foundation under Grant Nos. CCF and CCF , grants fro the New York State Office of Science, Technology and Acadeic Research to the Center for Advanced Technology in Electronic Iaging Systes, and by grants fro Intel Corporation and Qualco Corporation. if available resources such as the physical area, nuber of etal layers, and power budget were not severely liited. The continuous deand over the past decade for greater functionality within a sall for factor has iposed tight resource constraints while achieving aggressive perforance and noise targets [14]. Several techniques have been proposed for efficient power delivery systes, typically focusing on optiizing the power network [14], [15] and the placeent of the decoupling capacitor [16], [17]. Recently, Zeng et al. [18] proposed an optiization technique for designing power networks with ultiple on-chip voltage regulators. The design tradeoffs of on-chip voltage regulators and the effect of these regulators on high frequency voltage fluctuations and id-frequency resonance have been analyzed. The interactions between the power supplies and the decoupling capacitors are, however, not considered, which can significantly affect the perforance of an integrated circuit [18]. These interactions are quite critical in producing a robust power distribution network [10]. Decoupling capacitors and on-chip power supplies exhibit several distinct characteristics such as the response tie, area requireents, and parasitic output ipedance. Circuit odels for these coponents should accurately capture these characteristics while being sufficiently siple to not overly coplicate the optiization process. In this paper, facility location optiization algoriths will be analyzed to deterine the optiu location of power supplies and decoupling capacitors to iniize power noise [19] [21]. The constraints of this power network co-design proble depend on the application and specifications of the perforance objectives. The optiization goal can be to iniize the axiu voltage drop, average voltage drop, total area, response tie for particular circuit blocks, or total power consuption. Multiple optiization goals can also be applied for saller or id-size integrated circuits. The rest of the paper is organized as follows. A recently developed point-of-load voltage regulator is briefly described in Section II. The facility location proble is introduced with soe exeplary applications in Section III. A proposed ethodology to deterine the optiu location of the power supplies and decoupling capacitors is described in Section IV. The optiu location of the power supplies and decoupling capacitors, deterined for a saple circuit, is presented in /12/$ IEEE 757 Asiloar 2012

2 Output test pad PWM Op ap 80 µ Passive RC 185 µ Op ap output stage Fig. 1. Microphotograph of the hybrid voltage regulator [8]. Section V. The paper is concluded in Section VI. II. POINT-OF-LOAD VOLTAGE REGULATORS Placing ultiple point-of-load power supplies is challenging since the area occupied by a single power supply should be sall and the efficiency sufficiently high. Guo et al. proposed an output capacitorless low-dropout regulator which occupies on-chip area [6]. The authors also recently proposed a hybrid point-of-load voltage regulator, occupying on-chip area [8]. A icrophotograph of this hybrid point-of-load regulator is shown in Fig. 1. These area efficient voltage regulators provides a eans for distributing ultiple local power supplies across an integrated circuit, while aintaining high current efficiency and sall area. With the proposed voltage regulator, on-chip signal and power integrity is significantly enhanced while providing the capability for distributing ultiple power supplies. Design ethodologies are therefore required to deterine the location, size, and nuber of these power supplies and decoupling capacitors. III. FACILITY LOCATION PROBLEM Every coplex syste is coposed of sall coponents, typically with siple structures. The interactions and aggregation of these coponents for a highly coplex syste. The efficiency of this syste strongly depends upon the physical location of these coponents, which significantly affects the interactions. In ost systes, these coponents can be grouped into two categories; (1) facilities, and (2) custoers. The location, size, and nuber of facilities that iniize the cost of providing a high quality service to the custoers are the design objectives [19]. Matheatical odels of the location have been used to deterine the optial nuber, location, and size of the facilities as well as allocate facility resources to the custoers that iniize or axiize the objective function [19] [21]. The proble can be categorized depending upon the network (discrete or continuous) and the input (static or dynaic). The objective is to iniize the average (or axiu) distance fro the facilities to the custoers, deterine the iniu nuber of facilities that serve a particular nuber of custoers at fixed locations, or axiize the iniu distance fro a facility to the custoers. The design of on-chip power delivery networks for heterogeneous circuits exhibits significant siilarities to the design of electrical distribution networks in larger scale systes, such as the electric power distribution grid of a city. The electricity generated at a power plant is downconverted and distributed to substation transforers, typically outside a city. The output voltage of these substation transforers is further downconverted and regulated by the local power supplies. This voltage can be either delivered to industrial custoers at a high voltage level or further downconverted and regulated at saller substations and distributed to the local city power grid. Large capacitors are integrated within this electrical distribution syste to reduce voltage fluctuations. Alternatively, in an heterogeneous integrated circuit, the on-board voltage regulators downconvert the output voltage of the board level power supply unit. This voltage is delivered to the onchip voltage regulators or directly to the on-chip power grid which provides current to the load circuits. The required voltage levels and noise constraints are technology and design dependent. The on-chip power delivery syste is designed to deliver different voltage levels within noise constraints. Decoupling capacitors are distributed throughout the on-chip power delivery network to support the power distribution syste. A parallel can be drawn between the transforers and off-chip voltage regulators, the sall substations and onchip voltage regulators, and the large capacitors and on-chip decoupling capacitors. Additionally, the voltage requireents of different technologies within an heterogeneous integrated circuit vary in a siilar anner as the voltage requireents of industrial and residential regions within a city. Several optiization algoriths have been proposed which consider possible constraints to provide an optial solution to this proble. Due to the siilarity between the electrical distribution network of a city and the power distribution network of a heterogeneous circuit, analogous algoriths can be applied to the design of these systes. Since facility location algoriths are widely used to design electrical distribution networks, these city planning algoriths are leveraged in designing on-chip power networks within heterogeneous circuits. IV. PROPOSED OPTIMIZATION METHODOLOGY These existing optiization techniques and ethodologies provide a near optial solution for the location of the onchip power supplies and decoupling capacitors that iniize the average (or axiu) power noise. The focus of this paper is to deterine the optial nuber and location of the on-chip power supplies and decoupling capacitors that iniize the average power noise. A closed-for odel of the ipedance, proposed in [13], is utilized to deterine the effective resistance fro the power supplies and decoupling 758

3 capacitors to the load circuits. The constraints of the proble are as follows, The total area of the power supplies and decoupling capacitors is aintained constant All power supplies ust be larger than the iniu sized power supply All decoupling capacitors ust be larger than the iniu sized decoupling capacitor The proposed objective function is Miniize F(n,,k) = K 1 +K 2 +K 3 Subject to n C Pij (R out (P i )+R eff (P i,l j )) k C Dij (R esr (D i )+R eff (D i,l j )) k cap Di N trlj (R esr (D i )+R eff (D i,l j )), R eff (node α,node β )/r = 1 2π [ln((x 1 x 2 ) 2 +(y 1 y 2 ) 2 ) ] , (1) (2) 1 < x α,β < (Grid size) X, (3) 1 < y α,β < (Grid size) Y, (4) C Pij = C Dij = G ij n G, (5) ij G ij k G, (6) ij C Pij cap Pi, (7) j=1 C Dij cap Di, (8) j=1 n C Pij + n cap Pi + k C Dij = I i, (9) k cap Di I i, (10) where the definition of the aforeentioned paraeters are listed in Table I. In heterogeneous systes, where the slew rate, operating frequency, and supply voltage vary significantly over different portions of a circuit, K i provides the flexibility to optiize the power distribution syste for different technologies. Paraeter TABLE I DEFINITION OF THE PARAMETERS IN (1)-(10). Definition P i i th power supply D i i th decoupling capacitor L i i th circuit block R eff (node 1,node 2 ) Effective resistance between node 1 and node 2 (x 1,y 1 ) Coordinates of node 1 (x 2,y 2 ) Coordinates of node 2 r Unit resistance within the power grid n Nuber of power supplies k Nuber of decoupling capacitors Nuber of load circuits R out(p i ) Output resistance of i th power supply R esr(d i ) Effective series resistance of i th decap G ij Equivalent conductance K i Weighting paraeter C Pij Contribution of i th power supply to j th load C Dij Contribution of i th decap to j th load cap Pi Capacity of i th power supply cap Di Capacity of i th decap N trlj Noralized transition tie of the j th load circuit I i Current deand of i th load (Grid size) X Power grid size in horizontal direction (Grid size) Y Power grid size in vertical direction V. CASE STUDY The voltage drop aps of the related circuits with the decoupling capacitors and power supplies located at the predeterined locations are obtained using SPICE. The node voltages, which are deterined by the SPICE siulations, are produced fro MATLAB. The optiu nuber and location of the power supplies and decoupling capacitors that iniize the voltage drop and response tie within certain blocks are deterined for a sall saple circuit, as shown in Fig. 2, to provide an intuitive understanding of the proposed ethodology. The saple circuit is coposed of nine circuit blocks with different current profiles. The third and seventh blocks have current profiles with a faster transition tie (i.e., ) than the rest of the circuits which have a relatively slower transition tie (i.e., ). Since the decoupling capacitors provide iediate charge, intuitively, the decoupling capacitors should be placed close to those blocks with a fast transition tie to provide a fast response to transient changes in the current. The optiu location of the power supplies and decoupling capacitors that iniizes both the axiu voltage drop and response tie for certain blocks (the third and seventh blocks) is used, where K 1, K 2, and K 3 are set to one. The optiu location of one large on-chip power supply and ten decoupling capacitors (case a) is shown in Fig. 2a. The power supply is located at a central location to reduce the axiu physical distance to each of the circuit blocks. The decoupling capacitors, however, are placed physically close to the third and seventh blocks. Most of the current deand of these blocks is provided by the surrounding decoupling capacitors. The optiu location of the four relatively low current power supplies and 20 sall 759

4 decoupling capacitors (case b) is also deterined, as shown in Fig. 2b. In this case, the third and seventh circuit blocks are surrounded by local decoupling capacitors whereas the power supplies are distributed to ensure that the axiu distance fro the power supplies to the reaining blocks is iniized. The voltage drop ap for these two cases is shown in Fig. 3, where increasing the nuber of power supplies and decoupling capacitors significantly reduces the voltage drop. The axiu voltage drop is 133 V and 77 V, respectively, for cases a and b. More than a 40% reduction in the axiu voltage drop is achieved by increasing the nuber and distributing the location of the power supplies and decoupling capacitors. The area of an on-chip power supply is typically doinated by the output pass transistors [22], where the size of these pass transistors changes linearly with the axiu output current deand. The size of an on-chip power supply therefore changes linearly with the axiu output current capacity. Additionally, when the on-chip power supplies are sufficiently sall, the ultra-sall power supplies are cobined to for a larger power supply with a higher output current. In this paper, the size of a power supply is assued to change linearly with the axiu output current capacity. The general algebraic odeling syste (GAMS) is used as the optiization tool [23]. The proposed optiization ethodology is odeled as a ixed integer nonlinear prograing proble. The location of the power supplies and decoupling capacitors that iniizes the objective function is deterined for different nuber of power supplies and decoupling capacitors. The total area of the power supplies and decoupling capacitors is aintained the sae for all of the test cases to provide a fair coparison. 20 A #3 #2 20 A #1 20 A 20 A #2 20 A #1 20 A #3 20 A #5 #6 #9 20 A #8 20 A 20 A #4 #7 20 A (a) 20 A #6 #9 20 A 20 A Large power supply #5 #8 20 A 20 A Large decap Sall power supply Sall decap #4 #7 20 A 20 A VI. CONCLUSIONS The siilarity between the facility location proble and the design of heterogeneous integrated circuits is exploited. An objective function based on the effective resistance between the power supplies, decoupling capacitors, and load circuits is proposed that iniizes the average voltage drop throughout a heterogeneous integrated circuit. This objective function considers the contribution of current fro different power supplies and decoupling capacitors to a circuit block as well as the size of the individual circuit blocks. The optial location of the on-chip power supplies and decoupling capacitors is deterined for a saple circuit. REFERENCES [1] D. Meisner et al., Power Manageent of Online Data-Intensive Services, Proceedings of the ACM International Syposiu on Coputer Architecture, pp , June [2] R. Jakushokas, M. Popovich, A. V. Mezhiba, S. Kose, and E. G. Friedan, Power Distribution Networks with On-Chip Decoupling Capacitors, Second Edition, Springer, [3] M. Popovich, M. Sotan, A. Kolodny, and E. G. Friedan, Effective Radii of On-Chip Decoupling Capacitors, IEEE Transactions on Very Large Scale Integration (VLSI) Circuits, Vol. 16, No. 7, pp , July (b) Fig. 2. Floorplan of the exaple circuit with two different power delivery networks, a) one large power supply with ten decoupling capacitors, and b) four relatively saller distributed power supplies with 20 sall decoupling capacitors. [4] K. N. Leung and P. K. T. Mok, A Capacitor-Free CMOS Low- Dropout Regulator with Daping-Factor-Control Frequency Copensation, IEEE Journal of Solid-State Circuits, Vol. 38, No. 10, pp , October [5] P. Hazucha et al., Area-Efficient Linear Regulator with Ultra-Fast Load Regulation, IEEE Journal of Solid-State Circuits, Vol. 40, No. 4, pp , April [6] J. Guo and K. N. Leung, A 6-µW Chip-Area-Efficient Output- Capacitorless LDO in 90-n CMOS Technology, IEEE Journal of Solid-State Circuits, Vol. 45, No. 9, pp , Septeber [7] Y. Raadass, A. Fayed, B. Haroun, and A. Chandrakasan, A Copletely On-Chip Switched-Capacitor DC-DC Converter Using Digital Capacitance Modulation for LDO Replaceent in 45n CMOS, Proceedings of the IEEE International Solid-State Circuits Conference, pp , February [8] S. Kose and E. G Friedan, An Area Efficient Fully Monolithic Hybrid Voltage Regulator, Proceedings of the IEEE International Syposiu on Circuits and Systes, pp , May/June

5 1 Voltage (V) Four power supplies and twenty decoupling capacitors One power supply and ten decoupling capacitors Fig. 3. Map of voltage drops within the saple circuit for two different cases, one large power supply with ten decoupling capacitors, and two relatively saller distributed power supplies with 20 sall decoupling capacitors. The axiu voltage drop is reduced when the nuber of power supplies and decoupling capacitors is increased due to the distributed nature of the power delivery network. [9] S. Kose and E. G Friedan, On-Chip Point-of-Load Voltage Regulator for Distributed Power Supplies, Proceedings of the ACM Great Lakes Syposiu on VLSI, pp , May [10] S. Kose and E. G Friedan, Distributed Power Network Co-Design with On-Chip Power Supplies and Decoupling Capacitors, Proceedings of the Workshop on Syste Level Interconnect Prediction, June [11] S. Kose and E. G Friedan, Distributed On-Chip Power Delivery, IEEE Journal on Eerging and Selected Topics in Circuits and Systes, Deceber [12] S. Kose and E. G Friedan, Siultaneous Co-Design of Distributed On-Chip Power Supplies and Decoupling Capacitors, Proceedings of the IEEE International SOC Conference, pp , Septeber [13] S. Kose and E. G Friedan, Effective Resistance of a Two Layer Mesh, IEEE Transactions on Circuits and Systes II: Express Briefs, Vol. 58, No. 11, pp , Noveber [14] K. Wang and M. Marek-Sadowska, On-Chip Power-Supply Network Optiization using Multigrid-Based Technique, IEEE Transactions on Coputer-Aided Design of Integrated Circuits and Systes, Vol. 24, No. 3, pp , March [15] X.-D. S. Tan and C.-J. R. Shi, Fast Power/Ground Network Optiization Based on Equivalent Circuit Modeling, Proceedings of the IEEE/ACM Design Autoation Conference, pp , June [16] M. D. Pant, P. Pant, and D. S. Wills, On-Chip Decoupling Capacitor Optiization Using Architectural Level Prediction, IEEE Transactions on Very Large Scale Integration (VLSI) Circuits, Vol. 10, No. 3, pp , June [17] M. Popovich, E. G. Friedan, R. M. Secareanu, and O. L. Hartin, Efficient Placeent of Distributed On-Chip Decoupling Capacitors in Nanoscale ICs, Proceedings of the IEEE/ACM International Conference on Coputer-Aided Design, pp , Noveber [18] Z. Zeng, X. Ye, Z. Feng, and P. Li, Tradeoff Analysis and Optiization of Power Delivery Networks with On-Chip Voltage Regulation, Proceedings of the IEEE/ACM Design Autoation Conference, pp , June [19] M. S. Daskin, Network and Discrete Location: Models, Algoriths, and Applications, John Wiley and Sons, [20] Z. Drezner and H. Haacher, Facility Location: Applications and Theory, Springer, [21] R. Z. Farahani, M. S. Seifi, and N. Asgari, Multiple Criteria Facility Location Probles: A Survey, Applied Matheatical Modelling, Vol. 34, No. 7, pp , October [22] S. Kose, S. Ta, S. Pinzon, B. McDerott, and E. G. Friedan, Active Filter Based Hybrid On-Chip DC-DC Converters for Point-of-Load Voltage Regulation, IEEE Transactions on Very Large Scale Integration (VLSI) Circuits, in press. [23] A. Brooke, D. Kendrick, and A. Meeraus, GAMS: A User s Guide, The Scientific Press,

Power Improvement in 64-Bit Full Adder Using Embedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3

Power Improvement in 64-Bit Full Adder Using Embedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3 Power Iproveent in 64-Bit Full Adder Using Ebedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3 1 Departent of ECE, GTBKIET, Chhapianwali Malout, Punjab 2 Director, Principal,

More information

An Area Effcient On-Chip Hybrid Voltage Regulator

An Area Effcient On-Chip Hybrid Voltage Regulator An Area Effcient On-Chip Hybrid Voltage Regulator Selçuk Köse and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester, New York 14627 {kose, friedman}@ece.rochester.edu

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Active Low Pass Filter based Efficient DC-DC Converter K.Raashmil *1, V.Sangeetha 2 *1 PG Student, Department of VLSI Design,

More information

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

4202 E. Fowler Ave., ENB118, Tampa, Florida kose Department of Electrical Engineering, 813.974.6636 (phone), kose@usf.edu 4202 E. Fowler Ave., ENB118, Tampa, Florida 33620 http://www.eng.usf.edu/ kose Research Interests Research interests: On-chip voltage

More information

PREDICTING SOUND LEVELS BEHIND BUILDINGS - HOW MANY REFLECTIONS SHOULD I USE? Apex Acoustics Ltd, Gateshead, UK

PREDICTING SOUND LEVELS BEHIND BUILDINGS - HOW MANY REFLECTIONS SHOULD I USE? Apex Acoustics Ltd, Gateshead, UK PREDICTING SOUND LEVELS BEHIND BUILDINGS - HOW MANY REFLECTIONS SHOULD I USE? W Wei A Cooke J Havie-Clark Apex Acoustics Ltd, Gateshead, UK Apex Acoustics Ltd, Gateshead, UK Apex Acoustics Ltd, Gateshead,

More information

NINTH INTERNATIONAL CONGRESS ON SOUND AND VIBRATION, ICSV9 PASSIVE CONTROL OF LAUNCH NOISE IN ROCKET PAYLOAD BAYS

NINTH INTERNATIONAL CONGRESS ON SOUND AND VIBRATION, ICSV9 PASSIVE CONTROL OF LAUNCH NOISE IN ROCKET PAYLOAD BAYS first nae & faily nae: Rick Morgans Page nuber: 1 NINTH INTERNATIONAL CONGRESS ON SOUND AND VIBRATION, ICSV9 PASSIVE CONTROL OF LAUNCH NOISE IN ROCKET PAYLOAD BAYS Rick Morgans, Ben Cazzolato, Anthony

More information

A 1.2V rail-to-rail 100MHz amplifier.

A 1.2V rail-to-rail 100MHz amplifier. University of Michigan, EECS413 Final project. A 1.2V rail-to-rail 100MHz aplifier. 1 A 1.2V rail-to-rail 100MHz aplifier. Mark Ferriss, Junghwan Han, Joshua Jaeyoung Kang, University of Michigan. Abstract

More information

Adaptive Harmonic IIR Notch Filter with Varying Notch Bandwidth and Convergence Factor

Adaptive Harmonic IIR Notch Filter with Varying Notch Bandwidth and Convergence Factor Journal of Counication and Coputer (4 484-49 doi:.765/548-779/4.6. D DAVID PUBLISHING Adaptive Haronic IIR Notch Filter with Varying Notch Bandwidth and Convergence Factor Li Tan, Jean Jiang, and Liango

More information

Additive Synthesis, Amplitude Modulation and Frequency Modulation

Additive Synthesis, Amplitude Modulation and Frequency Modulation Additive Synthesis, Aplitude Modulation and Frequency Modulation Pro Eduardo R Miranda Varèse-Gastproessor eduardo.iranda@btinternet.co Electronic Music Studio TU Berlin Institute o Counications Research

More information

DSI3 Sensor to Master Current Threshold Adaptation for Pattern Recognition

DSI3 Sensor to Master Current Threshold Adaptation for Pattern Recognition International Journal of Signal Processing Systes Vol., No. Deceber 03 DSI3 Sensor to Master Current Threshold Adaptation for Pattern Recognition David Levy Infineon Austria AG, Autootive Power Train Systes,

More information

Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators

Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators Selçuk Köse Department of Electrical Engineering University of South Florida Tampa, Florida kose@usf.edu ABSTRACT Design-for-power has

More information

Power Comparison of 2D, 3D and 2.5D Interconnect Solutions and Power Optimization of Interposer Interconnects

Power Comparison of 2D, 3D and 2.5D Interconnect Solutions and Power Optimization of Interposer Interconnects Power Coparison of 2D, 3D and 2.5D Interconnect Solutions and Power Optiization of Interposer Interconnects M Ataul Kari 1, Paul D. Franzon 2, Anil Kuar 3 1,2 North Carolina State University, 3 SEMATECH

More information

Transmit Power and Bit Allocations for OFDM Systems in a Fading Channel

Transmit Power and Bit Allocations for OFDM Systems in a Fading Channel Transit Power and Bit Allocations for OFD Systes in a Fading Channel Jiho Jang *, Kwang Bok Lee, and Yong-Hwan Lee * Sasung Electronics Co. Ltd., Suwon P.O.Box, Suwon-si, Gyeonggi-do 44-74, Korea School

More information

A HIGH POWER FACTOR THREE-PHASE RECTIFIER BASED ON ADAPTIVE CURRENT INJECTION APPLYING BUCK CONVERTER

A HIGH POWER FACTOR THREE-PHASE RECTIFIER BASED ON ADAPTIVE CURRENT INJECTION APPLYING BUCK CONVERTER 9th International onference on Power Electronics Motion ontrol - EPE-PEM Košice A HIGH POWER FATOR THREE-PHASE RETIFIER BASE ON AAPTIVE URRENT INJETION APPYING BUK ONVERTER Žarko Ja, Predrag Pejović EE

More information

A NEW CMOS DIFFERENTIAL OTRA DESIGN FOR THE LOW VOLTAGE POWER SUPPLIES IN THE SUB-MICRON TECHNOLOGIES

A NEW CMOS DIFFERENTIAL OTRA DESIGN FOR THE LOW VOLTAGE POWER SUPPLIES IN THE SUB-MICRON TECHNOLOGIES A NEW CMOS DIFFERENTIAL OTRA DESIGN FOR THE LOW VOLTAGE POWER SUPPLIES IN THE SUB-MICRON TECHNOLOGIES Alper Duruk 1 Hakan Kuntan 2 e-ail: alper.duruk@st.co e-ail: kuntan@ehb.itu.edu.tr 1 ST Microelectronics

More information

OTC Statistics of High- and Low-Frequency Motions of a Moored Tanker. sensitive to lateral loading such as the SAL5 and

OTC Statistics of High- and Low-Frequency Motions of a Moored Tanker. sensitive to lateral loading such as the SAL5 and OTC 61 78 Statistics of High- and Low-Frequency Motions of a Moored Tanker by J.A..Pinkster, Maritie Research Inst. Netherlands Copyright 1989, Offshore Technology Conference This paper was presented at

More information

Allocation of Multiple Services in Multi-Access Wireless Systems

Allocation of Multiple Services in Multi-Access Wireless Systems Allocation of Multiple Serices in Multi-Access Wireless Systes Anders Furuskär Wireless@KTH, Royal Institute of Technology, Sweden and Ericsson Research anders.furuskar@era.ericsson.se Abstract This paper

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 12, December ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 12, December ISSN International Journal of Scientific & Engineering Research, Volue 4, Issue 12, Deceber-2013 1393 Analyzing 3D IC PDNs Using Multiple Clock Doains to Obtain Worst-Case Power Supply Noise and Teperature

More information

Secondary-side-only Simultaneous Power and Efficiency Control in Dynamic Wireless Power Transfer System

Secondary-side-only Simultaneous Power and Efficiency Control in Dynamic Wireless Power Transfer System 069060 Secondary-side-only Siultaneous Power and Efficiency Control in Dynaic Wireless Power Transfer Syste 6 Giorgio ovison ) Daita Kobayashi ) Takehiro Iura ) Yoichi Hori ) ) The University of Tokyo,

More information

Power Optimal Signaling for Fading Multi-access Channel in Presence of Coding Gap

Power Optimal Signaling for Fading Multi-access Channel in Presence of Coding Gap Power Optial Signaling for Fading Multi-access Channel in Presence of Coding Gap Ankit Sethi, Prasanna Chaporkar, and Abhay Karandikar Abstract In a ulti-access fading channel, dynaic allocation of bandwidth,

More information

COMPARISON OF TOKEN HOLDING TIME STRATEGIES FOR A STATIC TOKEN PASSING BUS. M.E. Ulug

COMPARISON OF TOKEN HOLDING TIME STRATEGIES FOR A STATIC TOKEN PASSING BUS. M.E. Ulug COMPARISON OF TOKEN HOLDING TIME STRATEGIES FOR A STATIC TOKEN PASSING BUS M.E. Ulug General Electric Corporate Research and Developent Schenectady, New York 1245 ABSTRACT Waiting ties have been calculated

More information

SAMPLING PERIOD ASSIGNMENT FOR NETWORKED CONTROL SYSTEMS BASED ON THE PLANT OPERATION MODE

SAMPLING PERIOD ASSIGNMENT FOR NETWORKED CONTROL SYSTEMS BASED ON THE PLANT OPERATION MODE SAMPLING PERIOD ASSIGNMENT FOR NETWORKED CONTROL SYSTEMS BASED ON THE PLANT OPERATION MODE Daniel A. Perez, Ubirajara F. Moreno, Carlos B. Montez, Tito L. M. Santos PGEAS - Prograa de Pós-Graduação e Engenharia

More information

Review Paper on Low Power VLSI Design Techniques

Review Paper on Low Power VLSI Design Techniques Review Paper on Low VLSI Design Neha Thakur 1, Deepak Kuar 2 1 Assistant Professor, ECE Deptt., SRMS WCET, Bareilly, India 2 Assistant Professor, ECE Deptt., Raa University, Kanpur, India 1 nehathakurec@gail.co,

More information

Part 9: Basic AC Theory

Part 9: Basic AC Theory Part 9: Basic AC Theory 9.1 Advantages Of AC Systes Dealing with alternating current (AC) supplies is on the whole ore coplicated than dealing with DC current, However there are certain advantages of AC

More information

Content-Centric Multicast Beamforming in Cache-Enabled Cloud Radio Access Networks

Content-Centric Multicast Beamforming in Cache-Enabled Cloud Radio Access Networks Content-Centric Multicast Beaforing in Cache-Enabled Cloud Radio Access Networks Hao Zhou, Meixia Tao, Erkai Chen,WeiYu *Dept. of Electronic Engineering, Shanghai Jiao Tong University, Shanghai, China

More information

Selective Harmonic Elimination for Multilevel Inverters with Unbalanced DC Inputs

Selective Harmonic Elimination for Multilevel Inverters with Unbalanced DC Inputs Selective Haronic Eliination for Multilevel Inverters with Unbalanced DC Inputs Abstract- Selective haronics eliination for the staircase voltage wavefor generated by ultilevel inverters has been widely

More information

Interference Management in LTE Femtocell Systems Using Fractional Frequency Reuse

Interference Management in LTE Femtocell Systems Using Fractional Frequency Reuse Interference Manageent in LTE Fetocell Systes Using Fractional Frequency Reuse Poongup Lee and Jitae Shin School of Inforation and Counication Engineering Sungyunwan University, Suwon, 440-746, Korea {poongup,

More information

High Impedance Fault Detection in Electrical Power Feeder by Wavelet and GNN

High Impedance Fault Detection in Electrical Power Feeder by Wavelet and GNN International Journal of Engineering and Applied Sciences (IJEAS) ISSN: 2394-3661, Volue-2, Issue-3, March 2015 High Ipedance Fault Detection in Electrical Power Feeder by Wavelet and GNN Majid Jail, Rajveer

More information

Design and Implementation of Block Based Transpose Form FIR Filter

Design and Implementation of Block Based Transpose Form FIR Filter Design and Ipleentation of Bloc Based Transpose For FIR Filter O. Venata rishna 1, Dr. C. Venata Narasihulu 2, Dr.. Satya Prasad 3 1 (ECE, CVR College of Engineering, Hyderabad, India) 2 (ECE, Geethanjali

More information

Impact of the Reactive Power Compensation on Harmonic Distortion Level

Impact of the Reactive Power Compensation on Harmonic Distortion Level pact of the Reactive Power Copensation on Haronic Distortion Level J. A. M. eto,. C. Jesus, L. L. Piesanti Departaento de Tecnologia Universidade Regional do oroeste do Estado do Rio Grande do Sul juí

More information

Energy-Efficient Cellular Communications Powered by Smart Grid Technology

Energy-Efficient Cellular Communications Powered by Smart Grid Technology Energy-Efficient Cellular Counications Powered by Sart Grid Technology Itiaz Nasi, Mostafa Zaan Chowdhury, and Md. Syadus Sefat Departent of Electrical and Electronic Engineering Khulna University of Engineering

More information

A simple charge sensitive preamplifier for experiments with a small number of detector channels

A simple charge sensitive preamplifier for experiments with a small number of detector channels A siple charge sensitive preaplifier for experients with a sall nuber of detector channels laudio Arnaboldi and Gianluigi Pessina Istituto Nazionale di Fisica Nucleare (INFN) Università degli Studi di

More information

Keywords: International Mobile Telecommunication (IMT) Systems, evaluating the usage of frequency bands, evaluation indicators

Keywords: International Mobile Telecommunication (IMT) Systems, evaluating the usage of frequency bands, evaluation indicators 2nd International Conference on Advances in Mechanical Engineering and Industrial Inforatics (AMEII 206) Entropy Method based Evaluation for Spectru Usage Efficiency of International Mobile Telecounication

More information

Compensated Single-Phase Rectifier

Compensated Single-Phase Rectifier Copensated Single-Phase Rectifier Jānis DoniĦš Riga Technical university jdonins@gail.co Abstract- Paper describes ethods of rectified DC pulsation reduction adding a ensation node to a single phase rectifier.

More information

Fundamental study for measuring microflow with Michelson interferometer enhanced by external random signal

Fundamental study for measuring microflow with Michelson interferometer enhanced by external random signal Bulletin of the JSME Journal of Advanced Mechanical Design, Systes, and Manufacturing Vol.8, No.4, 2014 Fundaental study for easuring icroflow with Michelson interferoeter enhanced by external rando signal

More information

Green Base Station Placement for Microwave Backhaul Links

Green Base Station Placement for Microwave Backhaul Links Green Base Station Placeent for Microwave Backhaul Links Alonso Silva, Antonia Masucci To cite this version: Alonso Silva, Antonia Masucci. Green Base Station Placeent for Microwave Backhaul Links. Proceedings

More information

An orthogonal multi-beam based MIMO scheme. for multi-user wireless systems

An orthogonal multi-beam based MIMO scheme. for multi-user wireless systems An orthogonal ulti-bea based IO schee for ulti-user wireless systes Dong-chan Oh o and Yong-Hwan Lee School of Electrical Engineering and IC, Seoul ational University Kwana P.O. Box 34, Seoul, 151-600,

More information

Transmit Beamforming and Iterative Water-Filling Based on SLNR for OFDMA Systems

Transmit Beamforming and Iterative Water-Filling Based on SLNR for OFDMA Systems Transit Beaforing and Iterative Water-Filling Based on SLNR for OFDMA Systes Kazunori Hayashi, Megui Kaneko, Takeshi Fui, Hideaki Sakai Graduate School of Inforatics, Kyoto University, Yoshida Honachi

More information

THE POWER supply voltage aggressively scales with each

THE POWER supply voltage aggressively scales with each 680 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 4, APRIL 2013 Active Filter-Based Hybrid On-Chip DC DC Converter for Point-of-Load Voltage Regulation Selçuk Köse, Member,

More information

COMBINED FREQUENCY AND SPATIAL DOMAINS POWER DISTRIBUTION FOR MIMO-OFDM TRANSMISSION

COMBINED FREQUENCY AND SPATIAL DOMAINS POWER DISTRIBUTION FOR MIMO-OFDM TRANSMISSION The 8th nnual IEEE International Syposiu on Personal, Indoor and Mobile Radio Counications (PIMRC 07) COMINED FREQUENCY ND SPTIL DOMINS POWER DISTRIUTION FOR MIMO-OFDM TRNSMISSION Wladiir ocquet, Kazunori

More information

OPTIMIZE THE POWER CONTROL AND NETWORK LIFETIME USING ZERO - SUM GAME THEORY FOR WIRELESS SENSOR NETWORKS

OPTIMIZE THE POWER CONTROL AND NETWORK LIFETIME USING ZERO - SUM GAME THEORY FOR WIRELESS SENSOR NETWORKS OTIMIZE THE OWER CONTROL AND NETWORK LIFETIME USING ZERO - SUM GAME THEORY FOR WIRELESS SENSOR NETWORKS Vinoba.V 1, Chithra.S.M 1 Departent of Matheatics, K.N. Governent Arts college, Tail Nadu,( India.)

More information

Implementation of Adaptive Viterbi Decoder

Implementation of Adaptive Viterbi Decoder Ipleentation of Adaptive Viterbi Decoder Devendra Made #1 VIII Se B.E.(Etrx) K.D.K.College of Engineering, Nagpur, Maharashtra(I) Asst. Prof. R.B. Khule *2 M.Tech V.L.S.I. K.D.K.College of Engineering,

More information

Kalman Filtering for NLOS Mitigation and Target Tracking in Indoor Wireless Environment

Kalman Filtering for NLOS Mitigation and Target Tracking in Indoor Wireless Environment 16 Kalan Filtering for NLOS Mitigation and Target Tracking in Indoor Wireless Environent Chin-Der Wann National Sun Yat-Sen University Taiwan 1. Introduction Kalan filter and its nonlinear extension, extended

More information

Comparison Between PLAXIS Output and Neural Network in the Guard Walls

Comparison Between PLAXIS Output and Neural Network in the Guard Walls Coparison Between PLAXIS Output and Neural Network in the Guard Walls Ali Mahbod 1, Abdolghafar Ghorbani Pour 2, Abdollah Tabaroei 3, Sina Mokhtar 2 1- Departent of Civil Engineering, Shahid Bahonar University,

More information

Iterative Receiver Signal Processing for Joint Mitigation of Transmitter and Receiver Phase Noise in OFDM-Based Cognitive Radio Link

Iterative Receiver Signal Processing for Joint Mitigation of Transmitter and Receiver Phase Noise in OFDM-Based Cognitive Radio Link Iterative Receiver Signal Processing for Joint Mitigation of Transitter and Receiver Phase Noise in OFDM-Based Cognitive Radio Link Ville Syrjälä and Mikko Valkaa Departent of Counications Engineering

More information

Exploring the Electron Tunneling Behavior of Scanning Tunneling Microscope (STM) tip and n-type Semiconductor

Exploring the Electron Tunneling Behavior of Scanning Tunneling Microscope (STM) tip and n-type Semiconductor Page 110 Exploring the of Scanning Tunneling Microscope (STM) tip and n-type Seiconductor M. A. Rahan * and J. U. Ahed Departent of Applied Physics, Electronics & Counication Engineering, University of

More information

Intermediate-Node Initiated Reservation (IIR): A New Signaling Scheme for Wavelength-Routed Networks with Sparse Conversion

Intermediate-Node Initiated Reservation (IIR): A New Signaling Scheme for Wavelength-Routed Networks with Sparse Conversion Interediate-Node Initiated Reservation IIR): A New Signaling Schee for Wavelength-Routed Networks with Sparse Conversion Kejie Lu, Jason P. Jue, Tiucin Ozugur, Gaoxi Xiao, and Irich Chlatac The Center

More information

ARecent report pointed out that in 2014 the amount of data

ARecent report pointed out that in 2014 the amount of data IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, VOL. XX, NO. XX, JANUARY 2XX 1 QoS-Aware Energy Efficient Association and Resource Scheduling for HetNets Taewoon Ki and J. Morris Chang, Senior Meber, IEEE Abstract

More information

Precise Indoor Localization System For a Mobile Robot Using Auto Calibration Algorithm

Precise Indoor Localization System For a Mobile Robot Using Auto Calibration Algorithm Precise Indoor Localization Syste For a Mobile Robot Using Auto Calibration Algorith Sung-Bu Ki, JangMyung Lee, and I.O. Lee : Pusan National University, http://robotics.ee.pusan.ac.r, : Ninety syste Abstract:

More information

A Decoupling Structure of Controllable Reactor of Transformer Type

A Decoupling Structure of Controllable Reactor of Transformer Type TELKOMNIKA Indonesian Journal of Electrical Engineering Vol., No., January 5, pp. ~ 5 DOI:.59/telkonika.vi.67 A Decoupling Structure of Controllable Reactor of Transforer Type Yu He*, Huatai Chen Power

More information

Parameter Identification of Transfer Functions Using MATLAB

Parameter Identification of Transfer Functions Using MATLAB Paraeter Identification of Transfer Functions Using MATLAB Mato Fruk, Goran Vujisić, Toislav Špoljarić Departent of Electrical Engineering The Polytechnic of Zagreb Konavoska, Zagreb, Croatia ato.fruk@tvz.hr,

More information

Performance of Multiuser MIMO System Employing Block Diagonalization with Antenna Selection at Mobile Stations

Performance of Multiuser MIMO System Employing Block Diagonalization with Antenna Selection at Mobile Stations Perforance of Multiuser MIMO Syste Eploying Bloc Diagonalization with Antenna Selection at Mobile Stations Feng Wang, Mare E. Bialowsi School of Inforation Technology and Electrical Engineering The University

More information

New Adaptive Linear Combination Structure for Tracking/Estimating Phasor and Frequency of Power System

New Adaptive Linear Combination Structure for Tracking/Estimating Phasor and Frequency of Power System 28 Journal of Electrical Engineering & echnology Vol. 5, No., pp. 28~35, 2 New Adaptive Linear Cobination Structure for racking/estiating Phasor and Frequency of Power Syste Choowong-Wattanasakpubal and

More information

Applied Digital Control: Optimization for System Identification and Controller Design

Applied Digital Control: Optimization for System Identification and Controller Design Applied Digital Control: Optiization for Syste Identification and Controller Design David G. Wilson Mechanical Engineering University of New Mexico 1. wilson@e.un.edu 2. starr@un.edu 2/27/2006 Lecture

More information

Power-Efficient Resource Allocation for MC-NOMA with Statistical Channel State Information

Power-Efficient Resource Allocation for MC-NOMA with Statistical Channel State Information Power-Efficient Resource Allocation for MC-NOMA with Statistical Channel State Inforation Zhiqiang Wei, Derrick Wing Kwan Ng, and Jinhong Yuan School of Electrical Engineering and Telecounications, The

More information

Improving Power Grid Resilience Through Predictive Outage Estimation

Improving Power Grid Resilience Through Predictive Outage Estimation Iproving Power Grid Resilience Through Predictive Outage Estiation Rozhin Eskandarpour, Ain Khodaei Departent of Electrical and Coputer Engineering University of Denver Denver, CO 800, USA rozhin.eskandarpour@du.edu,

More information

Sound recording with the application of microphone arrays

Sound recording with the application of microphone arrays Coputer Applications in Electrical Engineering Sound recording with the application of icrophone arrays Eugeniusz Kornatowski West Poeranian University of Technology 7-26 Szczecin, 26 Kwietnia, e-ail:

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

TESTING OF ADCS BY FREQUENCY-DOMAIN ANALYSIS IN MULTI-TONE MODE

TESTING OF ADCS BY FREQUENCY-DOMAIN ANALYSIS IN MULTI-TONE MODE THE PUBLISHING HOUSE PROCEEDINGS OF THE ROMANIAN ACADEMY, Series A, OF THE ROMANIAN ACADEMY Volue 5, Nuber /004, pp.000-000 TESTING OF ADCS BY FREQUENCY-DOMAIN ANALYSIS IN MULTI-TONE MODE Daniel BELEGA

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Analysis on DV-Hop Algorithm and its variants by considering threshold

Analysis on DV-Hop Algorithm and its variants by considering threshold Analysis on DV-Hop Algorith and its variants by considering threshold Aanpreet Kaur 1, Pada Kuar 1, Govind P Gupta 2 1 Departent of Coputer Science & Engineering Jaypee Institute of Inforation Technology,

More information

Phase Noise Modelling and Mitigation Techniques in OFDM Communications Systems

Phase Noise Modelling and Mitigation Techniques in OFDM Communications Systems Phase Noise Modelling and Mitigation Techniques in OFDM Counications Systes Ville Syrjälä, Mikko Valkaa, Nikolay N. Tchaov, and Jukka Rinne Tapere University of Technology Departent of Counications Engineering

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

Design of Efficient ZVS Half-Bridge Series Resonant Inverter with Suitable Control Technique

Design of Efficient ZVS Half-Bridge Series Resonant Inverter with Suitable Control Technique Harish Vegola et al. Int. Journal of Engineering Research and Application RESEARCH ARTICLE OPEN ACCESS Design of Efficient ZVS Half-Bridge Series Resonant Inverter with Suitable Control Technique Harish

More information

Yield Enhancement Techniques for 3D Memories by Redundancy Sharing among All Layers

Yield Enhancement Techniques for 3D Memories by Redundancy Sharing among All Layers Yield Enhanceent Techniques for 3D Meories by Redundancy Sharing aong All Layers Joohwan Lee, Kihyun Park, and Sungho Kang Three-diensional (3D) eories using through-silicon vias (TSVs) will likely be

More information

A Frequency Domain Approach to Design Constrained Amplitude Spreading Sequences for DS-CDMA Systems for Frequency Selective Fading Channels

A Frequency Domain Approach to Design Constrained Amplitude Spreading Sequences for DS-CDMA Systems for Frequency Selective Fading Channels A Frequency Doain Approach to Design Constrained Aplitude Spreading Sequences for DS-CDMA Systes for Frequency Selective Fading Channels B.J.Peiris, K.R.Narayanan and S.L. Miller Dept. of Electrical Engineering

More information

Research Article Novel Design for Reduction of Transformer Size in Dynamic Voltage Restorer

Research Article Novel Design for Reduction of Transformer Size in Dynamic Voltage Restorer Research Journal of Applied Sciences, Engineering and Technology 8(19): 057-063, 014 DOI:10.1906/rjaset.8.1198 ISSN: 040-7459; e-issn: 040-7467 014 Maxwell Scientific Publication Corp. Subitted: April

More information

A Novel Low Power UWB Cascode SiGe BiCMOS LNA with Current Reuse and Zero-Pole Cancellation

A Novel Low Power UWB Cascode SiGe BiCMOS LNA with Current Reuse and Zero-Pole Cancellation A Novel Low Power UWB Cascode SiGe BiCMOS LNA with Current Reuse and Zero-Pole Cancellation Chunbao Ding, Wanrong Zhang, Dongyue Jin, Hongyun Xie, Pei Shen, Liang Chen, School of Electronic Inforation

More information

Optimal Modulation Index of the Mach-Zehnder Modulator in a Coherent Optical OFDM System Employing Digital Predistortion

Optimal Modulation Index of the Mach-Zehnder Modulator in a Coherent Optical OFDM System Employing Digital Predistortion Optial Modulation Index of the Mach-Zehnder Modulator in a Coherent Optical OFDM yste Eploying Digital redistortion David Rörich, Xiaojie Wang, Michael Bernhard, Joachi peidel Universität tuttgart, Institut

More information

Equal Area Criterion Scheme to Reduce DC Bus Voltage Stress of Single Stage Single Switch Power Factor Corrected Converter

Equal Area Criterion Scheme to Reduce DC Bus Voltage Stress of Single Stage Single Switch Power Factor Corrected Converter Aerican nternational Journal of Research in Science, Technology, Engineering & Matheatics Available online at http://www.iasir.net SSN (Print): 38-349, SSN (Online): 38-3580, SSN (CD-ROM): 38-369 AJRSTEM

More information

A NEW APPROACH TO UNGROUNDED FAULT LOCATION IN A THREE-PHASE UNDERGROUND DISTRIBUTION SYSTEM USING COMBINED NEURAL NETWORKS & WAVELET ANALYSIS

A NEW APPROACH TO UNGROUNDED FAULT LOCATION IN A THREE-PHASE UNDERGROUND DISTRIBUTION SYSTEM USING COMBINED NEURAL NETWORKS & WAVELET ANALYSIS A NEW APPROACH TO UNGROUNDED FAULT LOCATION IN A THREE-PHASE UNDERGROUND DISTRIBUTION SYSTEM USING COMBINED NEURAL NETWORKS & WAVELET ANALYSIS Jaal Moshtagh University of Bath, UK oshtagh79@yahoo.co Abstract

More information

Randomized Scheduling Algorithms for Wireless Sensor Networks

Randomized Scheduling Algorithms for Wireless Sensor Networks Randoized Scheduling Algoriths for Wireless Sensor etworks abhendra Bisnik, eeraj Jaggi Rensselaer Polytechnic Institute Troy, Y 80 bisnin@rpiedu, jaggin@rpiedu I ITRODUCTIO A wireless sensor network WS

More information

A New Localization and Tracking Algorithm for Wireless Sensor Networks Based on Internet of Things

A New Localization and Tracking Algorithm for Wireless Sensor Networks Based on Internet of Things Sensors & Transducers 203 by IFSA http://www.sensorsportal.co A New Localization and Tracking Algorith for Wireless Sensor Networks Based on Internet of Things, 2 Zhang Feng, Xue Hui-Feng, 2 Zhang Yong-Heng,

More information

Boris Krnic Nov 15, ECE 1352F. Phase Noise of VCOs

Boris Krnic Nov 15, ECE 1352F. Phase Noise of VCOs Boris Krnic Nov 15, 93 187 13 ECE 135F Phase Noise of VCOs. ABSTRACT The ain purpose of this paper is to present siplified first order noise analysis techniques as applied to ring VCOs. The scarcity of

More information

Radio Resource Management in a Coordinated Cellular Distributed Antenna System By Using Particle Swarm Optimization

Radio Resource Management in a Coordinated Cellular Distributed Antenna System By Using Particle Swarm Optimization Radio Resource Manageent in a Coordinated Cellular Distributed Antenna Syste By Using Particle Swar Optiization Oer Haliloglu (1), Cenk Toker (1), Gurhan Bulu (1), Hali Yanikoeroglu (2) (1) Departent of

More information

ARCING HIGH IMPEDANCE FAULT DETECTION USING REAL CODED GENETIC ALGORITHM

ARCING HIGH IMPEDANCE FAULT DETECTION USING REAL CODED GENETIC ALGORITHM ARCING HIGH IMPEDANCE FAULT DETECTION USING REAL CODED GENETIC ALGORITHM Naser Zaanan Jan Sykulski A. K. Al-Othan School of Electronics & School of Electronics & Coputer Science Dept. Electrical Engineering

More information

Faouzi Ben Ammar INSAT Centre Urbain Nord, BP 676,1080Tunis I. INTRODUCTION

Faouzi Ben Ammar INSAT Centre Urbain Nord, BP 676,1080Tunis I. INTRODUCTION Proceedings of the 4 th International Middle East Power Systes Conference (MEPCON ), Cairo University, Egypt, Deceber 9-,, Paper ID 9. Haronic distortion rate analysis of H-bridges ultilevel inverter Mohaed

More information

ELECTROMAGNETIC COVERAGE CALCULATION IN GIS

ELECTROMAGNETIC COVERAGE CALCULATION IN GIS ELECTROMAGNETIC COVERAGE CALCULATION IN GIS M. Uit Guusay 1, Alper Sen 1, Uut Bulucu 2, Aktul Kavas 2 1 Yildiz Technical University, Departent of Geodesy and Photograetry Engineering, Besiktas, Istanbul,

More information

IMPROVEMENT OF FAR FIELD RADIATION PATTERN OF LINEAR ARRAY ANTENNA USING GENETIC ALGORITHM

IMPROVEMENT OF FAR FIELD RADIATION PATTERN OF LINEAR ARRAY ANTENNA USING GENETIC ALGORITHM ISSN: 2229-6948 (ONLINE) ICTACT JOURNAL OF COMMUNICATION TECHNOLOGY, MARCH 10, VOLUME: 01, ISSUE: 01 DOI: 10.21917/ijct.10.0004 IMPROVEMENT OF FAR FIELD RADIATION PATTERN OF LINEAR ARRAY ANTENNA USING

More information

Department of Mechanical and Aerospace Engineering, Case Western Reserve University, Cleveland, OH, 2

Department of Mechanical and Aerospace Engineering, Case Western Reserve University, Cleveland, OH, 2 Subission International Conference on Acoustics, Speech, and Signal Processing (ICASSP ) PARAMETRIC AND NON-PARAMETRIC SIGNAL ANALYSIS FOR MAPPING AIR FLOW IN THE EAR-CANALTO TONGUE MOVEMENT: A NEW STRATEGY

More information

UNIT - II CONTROLLED RECTIFIERS (Line Commutated AC to DC converters) Line Commutated Converter

UNIT - II CONTROLLED RECTIFIERS (Line Commutated AC to DC converters) Line Commutated Converter UNIT - II CONTROLLED RECTIFIERS (Line Coutated AC to DC converters) INTRODUCTION TO CONTROLLED RECTIFIERS Controlled rectifiers are line coutated ac to power converters which are used to convert a fixed

More information

Investigating Multiple Alternating Cooperative Broadcasts to Enhance Network Longevity

Investigating Multiple Alternating Cooperative Broadcasts to Enhance Network Longevity Investigating Multiple Alternating Cooperative Broadcasts to Enhance Network Longevity Aravind Kailas School of Electrical and Coputer Engineering Georgia Institute of Technology Atlanta, Georgia 3033-050,

More information

New Characteristics Analysis Considering Transmission Distance and Load Variation in Wireless Power Transfer via Magnetic Resonant Coupling

New Characteristics Analysis Considering Transmission Distance and Load Variation in Wireless Power Transfer via Magnetic Resonant Coupling New Characteristics nalysis Considering Transission Distance and oad Variation in Wireless Power Transfer via Magnetic Resonant Coupling Masaki Kato, Takehiro ura, Yoichi Hori The Departent of dvanced

More information

Distributed Resource Allocation Assisted by Intercell Interference Mitigation in Downlink Multicell MC DS-CDMA Systems

Distributed Resource Allocation Assisted by Intercell Interference Mitigation in Downlink Multicell MC DS-CDMA Systems 1 Distributed Resource Allocation Assisted by Intercell Interference Mitigation in Downlin Multicell MC DS-CDMA Systes Jia Shi, Zhengyu Song, IEEE Meber, and Qiang Ni, IEEE Senior Meber Abstract This paper

More information

Single Stage Amplifier

Single Stage Amplifier CHAPTE 3 Sle Stae Aplifier Analo IC Analysis and esin 3- Chih-Chen Hsieh Outle. Coon-Source Aplifier. Coon-Source Ap with Source eeneration 3. Coon-ra Aplifier 4. Coon-Gate Aplifier 5. Cascode Aplifier

More information

Design and Development Considerations of Voltage Controlled Crystal Oscillator (VCXO) Networks

Design and Development Considerations of Voltage Controlled Crystal Oscillator (VCXO) Networks Design and Developent Considerations of Voltage Controlled Crystal Oscillator (VCXO) Networks David Green & Tony Scalpi, Cypress Seiconductor Corporation 2003 1.0 Overview The concept of placing piezoelectric

More information

Incorporating Performance Degradation in Fault Tolerant Control System Design with Multiple Actuator Failures

Incorporating Performance Degradation in Fault Tolerant Control System Design with Multiple Actuator Failures International Incorporating Journal Perforance of Control, Degradation Autoation, in and ault Systes, Tolerant vol. Control, no. Syste, pp. 7-, Design with June Multiple Actuator ailures 7 Incorporating

More information

Hand Gesture Recognition and Its Application in Robot Control

Hand Gesture Recognition and Its Application in Robot Control IJCSI International Journal of Coputer Science Issues, Volue 13, Issue 1, January 016 www.ijcsi.org 10 Hand Gesture Recognition and Its Application in Robot Control Pei-Guo Wu 1 and Qing-Hu Meng 1 Inforation

More information

ANALYSIS AND OPTIMIZATION OF SYNTHETIC APERTURE ULTRASOUND IMAGING USING THE EFFECTIVE APERTURE APPROACH. Milen Nikolov, Vera Behar

ANALYSIS AND OPTIMIZATION OF SYNTHETIC APERTURE ULTRASOUND IMAGING USING THE EFFECTIVE APERTURE APPROACH. Milen Nikolov, Vera Behar International Journal "Inforation heories & Applications" Vol. 57 AALYSIS AD OPIMIZAIO OF SYHEIC APEUE ULASOUD IMAGIG USIG HE EFFECIVE APEUE APPOACH Milen ikolov, Vera Behar Abstract: An effective aperture

More information

The Research of PV MPPT based on RBF-BP Neural Network Optimized by GA

The Research of PV MPPT based on RBF-BP Neural Network Optimized by GA nternational Conference on Logistics Engineering, Manageent and Coputer Science (LEMCS 215) The Research of PV MPPT based on RBF-BP Neural Network Optiized by GA Jian Liu xxliujian@sjzu.edu.cn iaolin u

More information

HÉDIO TATIZAWA 1, ERASMO SILVEIRA NETO 2, GERALDO F. BURANI 1, ANTÔNIO A. C. ARRUDA 1, KLEIBER T. SOLETTO 1, NELSON M. MATSUO 1

HÉDIO TATIZAWA 1, ERASMO SILVEIRA NETO 2, GERALDO F. BURANI 1, ANTÔNIO A. C. ARRUDA 1, KLEIBER T. SOLETTO 1, NELSON M. MATSUO 1 Application of odelling and coputer siulation for the developent of a test setup for calibration of power quality easureent transducers for high voltage networks HÉDIO TATIZAWA 1, ERASMO SILVEIRA NETO

More information

Distributed Resource Allocation for Proportional Fairness in Multi-Band Wireless Systems

Distributed Resource Allocation for Proportional Fairness in Multi-Band Wireless Systems Distributed Resource Allocation for Proportional Fairness in Multi-Band Wireless Systes I-Hong Hou CSL and Departent of CS University of Illinois Urbana, IL 61801, USA ihou2@illinois.edu Piyush Gupta Bell

More information

Simplified Analysis and Design of MIMO Ad Hoc Networks

Simplified Analysis and Design of MIMO Ad Hoc Networks Siplified Analysis and Design of MIMO Ad Hoc Networks Sunil Srinivasa and Martin Haenggi Departent of Electrical Engineering University of Notre Dae Notre Dae, IN 46556, USA Eail: {ssriniv, haenggi}@nd.edu

More information

Packet Loss and Delay Combined Optimization for Satellite Channel Bandwidth Allocation Controls

Packet Loss and Delay Combined Optimization for Satellite Channel Bandwidth Allocation Controls Packet Loss and Delay obined Optiiation for Satellite hannel Bandwth Allocation ontrols Igor Bisio Meber, IEEE, Mario Marchese Senior Meber, IEEE DIST - Departent of ounication, oputer and Syste Science

More information

Experiment 7: Frequency Modulation and Phase Locked Loops October 11, 2006

Experiment 7: Frequency Modulation and Phase Locked Loops October 11, 2006 Experient 7: Frequency Modulation and Phase ocked oops October 11, 2006 Frequency Modulation Norally, we consider a voltage wave for with a fixed frequency of the for v(t) = V sin(ω c t + θ), (1) where

More information

INTERFERENCE avoidance has emerged in the literature

INTERFERENCE avoidance has emerged in the literature 1466 IEEE TANSACTIONS ON COMMUNICATIONS, VOL. 55, NO. 8, AUGUST 2007 Interference Avoidance and Multiaccess Vector Channels Diitrie C. Popescu, Senior Meber, IEEE, Otilia Popescu, Meber, IEEE, and Christopher

More information

Beacon-driven Leader Based Protocol over a GE Channel for MAC Layer Multicast Error Control

Beacon-driven Leader Based Protocol over a GE Channel for MAC Layer Multicast Error Control I. J. Counications, Network and Syste Sciences, 2008, 2, 05-206 Published Online May 2008 in Scies (http://www.spublishing.org/journal/ijcns/. Beacon-driven Leader Based Protocol over a GE Channel for

More information

ACCURATE DISPLACEMENT MEASUREMENT BASED ON THE FREQUENCY VARIATION MONITORING OF ULTRASONIC SIGNALS

ACCURATE DISPLACEMENT MEASUREMENT BASED ON THE FREQUENCY VARIATION MONITORING OF ULTRASONIC SIGNALS XVII IMEKO World Congress Metrology in 3rd Millenniu June 22 27, 2003, Dubrovnik, Croatia ACCURATE DISPLACEMENT MEASUREMENT BASED ON THE FREQUENCY VARIATION MONITORING OF ULTRASONIC SIGNALS Ch. Papageorgiou

More information

Cross-correlation tracking for Maximum Length Sequence based acoustic localisation

Cross-correlation tracking for Maximum Length Sequence based acoustic localisation Cross-correlation tracking for Maxiu Length Sequence based acoustic localisation Navinda Kottege Research School of Inforation Sciences and Engineering The Australian National University, ACT, Australia

More information

Dynamic Model Displacement for Model-mediated Teleoperation

Dynamic Model Displacement for Model-mediated Teleoperation Dynaic Model Displaceent for Model-ediated Teleoperation Xiao Xu Giulia Paggetti Eckehard Steinbach Institute for Media Technology, Technische Universität München, Munich, Gerany ABSTRACT In this paper,

More information