EFFECTIVE CONTROLLER IN OPTIMIZED ASYNCHRONOUS LOGIC

Size: px
Start display at page:

Download "EFFECTIVE CONTROLLER IN OPTIMIZED ASYNCHRONOUS LOGIC"

Transcription

1 1145 EFFETIVE ONTROLLER IN OPTIMIZED ASYNHRONOUS LOGI P.Sudha 1, P.Kavitha 2 1 Faculty, department of EE, M.A.M School of Engineering,Siruganur, Tamilnadu, India, 2 Faculty, department of EE, M.A.M School of Engineering,Siruganur, Tamilnadu, India, Abstract Asynchronous Fine-grain power gated Logic (AFL) which includes Modified Efficient harge Recovery Logic (M-ERL) gates to implement the logic function of the stage with a handshake controller which comprises of -element to handle the control signals with the neighboring stages and provides power to M- ERL gate. AFL adopts an partial charge reuse (PR) mechanism, part of the charge on the output nodes of M- ERL gate which entering the discharge phase can be used to charge the output nodes of another M-ERL gate which is more enough to complete evaluate phase, thus reducing the power consumption. To design the efficient asynchronous styles with effective controller from their available MOS topology. Moreover, study is to scrutinize the use of different controller implementations in a single design in order to generate hybrid and optimized designs. Index terms - Asynchronous circuits, logic gates, lowpower electronics, power gating. I. INTRODUTION The interest in non-synchronous circuits is increasing. The International Technology Roadmap for Semiconductors (ITRS) describes a clear need for asynchronous communication protocols for control and synchronization in integrated circuits (Is) for the next decades. The power dissipation has become an important concern in nano scale MOS VLSI design as the feature size continues to shrink and the corresponding transistor density increases. In MOS circuits, the power dissipation can be categorized into dynamic dissipation and static dissipation. Dynamic power is the power dissipated when the device is active, and static power is the power dissipated when the device is powered up but no signals are changing their values [9].Dynamic power consists of the switching power, caused by charging and discharging of load capacitance, and the internal power, caused by short-circuit current and the currents needed to charge the internal capacitance of the cell. Static dissipation results from leakage currents and the main source of leakage include sub - threshold leakage, gate leakage, gate - induced drain leakage, and junction leakage. As threshold voltage, channel length, and gate oxide thickness continue to shrink, leakage dissipation is becoming a significant contributor to the total power dissipation. In a nanometer MOS circuit, leakage power can constitute as much as a third of total power. ommon implementation methodologies of powergating techniques include multi threshold MOS, boosted-gate MOS, super cut-off MOS, variable threshold MOS, and zigzag super cut-off MOS. For synchronous circuits, power gating can be implemented in the fine-grain or coarse-grain manner. The fine-grain power gating approach has more opportunities to reduce leakage at run-time than the coarse-grain power gating approach. ommon implementation methodologies of power-gating techniques include multi-threshold MOS [6], boosted-gate MOS [1], super cut-off MOS [3], variable threshold MOS, and zigzag super cut-off MOS. First, fine-grain power-gating needs significant buffering and routing resources to distribute the sleep control signal to all the cells in the synchronous system [8]. Second, at the wakeup, the local supply voltage of a cell must reach a nominal value before inputs can be applied to the cell in order to prevent the synchronous system from violating the timing requirements [8], in order to supply the worst case current required by the cell, the power switch (sleep transistor) often can be up to three times as large as the rest of the cell. Therefore, most of today s power-gated synchronous designs use coarse grain power gating. Nevertheless, a coarse-grain power-gated synchronous system has some disadvantages. Although asynchronous circuits in inactive mode have no dynamic dissipation, they still suffer leakage dissipation. A novel low power logic family called asynchronous fine-grain power gated logic (AFL) can achieve fine-grain power gating to mitigate static power dissipation without superfluous hardware overhead. II. MODIFIED ERL LOGI GATES The functional block of proposed APL circuit is replaced to an Modified Efficiency harge Recovery Logic (M-ERL) gate. Since ERL has some drawbacks. The ERL circuit uses four phase power clocks. The problem of multi-phase clocking charge recovery circuits include clock skew, complicated

2 1146 power clock tree and multi-phase clock generators that increasing energy dissipation. Moreover, in order to maintain pipeline operation, some buffers must be inserted in ERL circuits which result in extra area overhead and increase the complexity of layout place and route. From this discussion the Improved Efficiency harge Recovery Logic (M-ERL) gate is proposed here. The structure of Improved M-ERL circuits shown in Fig 1. In the M-ERL circuits, combinational logic blocks are supplied by a single-phase power clock. The schematic of the M-ERL circuit is the same as ERL. All combinational logic blocks of the M-ERL circuits are driven by a single phase power clock while is unlike as the basic ERL ones. The operation of the M-ERL can be separated into two processes: Evaluation (t1) and recovery (t2). In the evaluate phase, the voltage of Vpi ramps up from 0 V to VDD, and gate Gi can draw current from Vpi and begin to evaluate its outputs. Driving Strength s (X1, X2, X3, X4) Initialization ontrol Signals (none, set, reset) Number of Inputs (2 or 3) 504 -Elements Speed/Power (BP, BS) Number/Kind of Asymmetric 2 Inputs (none, 1+, 1-, 1+1- ) MOS Transistor Topology (Sutherland, Martin, Van Berkley) Fig. 2 omposition of the Implemented Set of - Elements ells. Fig. 3. Shows truth tables and associated symbols for 2-input implementations only. For instance, shows a symmetric -element, where the output only switches when all inputs are at the same logic value. A B A B Y No hange 1 0 No hange Y Fig.1 M-ERL Logic AND/NAND Logic In the recovery phase, the voltage of Vp ramps down from VDD to 0V, the charge on the output nodes is transferred back to power node Vp, and the output eventually become empty (i.e., out.t and out.f both become LOW). III. -ELEMENTS A. Overview To enable most non-synchronous styles, the - element is a fundamental device that has to be available as logic primitive. A recently proposed design flow improved a standard cell library, adding to it a set of typical asynchronous cells. To achieve this, it adds a new degree of freedom to cell design. The new standard cell set encompasses over 500 different -element implementations. Most of the asynchronous design techniques proposed to date require devices other than the ordinary logic gates and flip-flops available in current standard cell sets. These include e.g. metastability filters, event fork, join and merge devices, -elements, etc. Fig.3 the -element: symbol and some alternative Specifications. Three static MOS implementations are the Sutherland pull-up pull-down, the weak feedback and the van Berkel1 implementation. A B (a) (b) (c) Fig.4 MOS implementations of the -element: (a) Sutherland s pull-up pull-down, (b) van Berkel s, (c) Martin s weak feedback.

3 1147 This work presents a comparison between the three - element mentioned implementations. The comparison considered layout effects on a DSM technology, together with the systemic effect of using these -elements in building asynchronous cores. The results obtained show that previous findings for the electrical behavior of - elements must be reevaluated. IV. PR MEHANISM AND HANDSHAKE ONTROLLER. PR mechanism and the -element are presented in AFL-PR pipeline with three stages. There are two main differences between AFL-PR and AFL without PR Fig.5 (a) and (b).first, AFL-PR employs the PR unit PR i+1 to control charge reuse between pipeline stages S i and S i+2. Second, the handshake controller H i in AFL- PR employs a -element to control the power node Vp i of the associated M-ERL gates. The -element offers the advantage that an M-ERL gate can achieve early discharging if its outputs are no longer required, without waiting for the next empty token to arrive at this stage. (a) reset, R i = 0, A ini = 0, and A ini = 1. The transitions of R i and A ini involve the following four events. 1) Event Req : R i transits from LOW to HIGH. This event occurs when a valid token arrives at stage S i. 2) Event Ack : A ini transits from LOW to HIGH and A ini transits from HIGH to LOW. This event occurs when the valid output of stage Si has been received by stage S i+2. 3) Event Req : Ri transits from HIGH to LOW. This event occurs when an empty token arrives at stage Si. 4) Event Ack : Aini transits from HIGH to LOW and Aini transits from LOW to HIGH. Thus, the M-ERL logic gates in Si can enter the discharge phase to achieve early discharging as soon as the valid output of stage Si has been received by stage S i+2.in the AFL-PR pipeline, the arrival of a valid token at stage S i+1 forces stage S i to discharge and turns on the switch in PR i+1. Part of the charge on the output nodes of gate G i are reused to charge the output nodes of gate G i+2 to reduce energy dissipation. The use of the - element makes it possible to synchronize the discharging of gate G i with the evaluating of gate G i+2, and to have gate G i enter the sleep mode early to further reduce static power dissipation. V. RESULTS AND DISUSSIONS Figure 6 shows details the power consumption of the - elements from the total power consumed by the placed and routed netlists. The total power consumed by the Sutherland, the weak feedback and the van Berkel - elements, in their respective netlists, was 54%, 73% and 54% respectively. These results show that, in a realistic application, the reason for the Sutherland -element to consume less power than the van Berkel is because the internal power consumed by the latter is more significant. One aspect that worsens internal power consumption is the amount of transistors in short circuit when switching the van Berkel -element output logical value. Sutherland 54% 73% 54% Martin's Weak Feedback Van Berkel (b) Fig.5 (a) AFL without PR (b) AFL with PR The -element in H i has three inputs, R i, A ini, and A ini, the latter two of which are complementary. R i is the request signal from the D in Hi. A ini and A ini are the acknowledge signals from H i+2. After Fig.6 -elements power consumption for asynchronous circuit Van Berkel -element appears as the lowest static power consuming implementation, it has been shown to consume more dynamic power than the Sutherland - element for bigger input slopes. Moreover, the dynamic power consumption represents a bigger portion of the

4 1148 total power than the static power consumption. In this way, the Sutherland -element appears as the most indicated for low power designs, regardless of input slope variations. The weak feedback presented the worst propagation delay, regardless output load or input slope variations. Moreover, the van Berkel and the Sutherland -elements proved to be equally robust to output load variations. However, Sutherland implementation presented higher propagation delay for high input slopes. Therefore, the van Berkel -element appears as the most speed efficient implementation. The results on required area for each -element showed that the van Berkel implementation is the most silicon area consuming, while the weak feedback is the most area efficient. Hence, the latter is the most suitable for high density designs. Finally, the work described here shows that the choice of -element type in a DSM asynchronous design is a triple (speed/area/power) tradeoff. In this section simulation is performed with microwind tool. The simulation results are carried out for AFL without PR and AFL-PR pipeline with three stages are discussed here. In this fig.7, the Vp gets high value when both the input of -element are high. The empty token has not arrived at the input stage and output of the logic gates are no longer required i.e. ack bar equals 1, it maintains the previous Vp value. The logic gate performs the function when the value of Vp goes to high and produces output.the simulation results of AFL without PR pipeline is shown in fig.7. This figure describes three AFL without PR are cascaded and creating a pipeline chain. If the ack bar and R i is high, the -element produces high value which activates the logic gate and produces high output. Fig.7 Output waveform for AFL without PR pipeline The fig.8 shows the simulation result of AFL-PR. It is similar to AFL-PR, even though one difference is however empty token is not received at the input stage and the output stages are no longer required i.e. ack bar equals 1, the output of Vp goes to discharge state. This is also one of the advantages of AFL-PR. The simulation results of APL-PR pipeline is shown here. If the valid token reaches the stage 3 the PR unit is activated and output of Vp rises to high value, which activates the logic gate. Then the logic produces output value either as high or low corresponding to the input token. Fig.8 Output waveform for AFL - PR pipeline The power comparisons of AFL circuit using ERL and APL circuit using I -ERL are performed in cell level design using microwind tool. The result of this work is still in process. In existing method compared with static MOS counterpart, the AFL w/o PR can reduce static power dissipation by 83.1%, and the AFL- PR implementation can reduce static power dissipation by 85.5%.In proposed method, compared with static MOS APL w/o PR can reduce power dissipation by 85.3%, and the APL-PR can reduce power dissipation by 87.8%. Finally, 8 bit K-S adder is designed using APL pipeline circuit and their power is calculated using Xilinx implementation. VI. ONLUSION In designing of AFL circuit, the logic blocks become active only when performing useful computations, and the idle logic blocks were not powered and have negligible leakage power dissipation. With fine-grain power gating, the AFL approach has more opportunities to reduce leakage at run-time than other coarse-grain power gating techniques. The AFL circuit employs M- ERL logics to construct its logic blocks to avoid the occurrence of the short-circuit current from VDD to the ground, and to eliminate the requirement for additional standalone pipeline latches. The proposed -element is implemented in AFL circuit and its power consumption is compared with the existing -element in AFL circuit. Although the AFL implementation has the advantage of lower power dissipation, it suffers the problem of a lower maximum sustainable throughput rate. The proposed AFL circuit with -element, which is more efficient compared to other existing method. Power consumption is reduced by using the -element in AFL circuit compared to the existing method.

5 1149 REFERENES [1] Inukai.T, M. Takamiya, K. Nose, H. Kawaguchi, T. Hiramoto, and T. Sakurai (2000), Boosted gate MOS (BGMOS): Device/circuit cooperation scheme to achieve leakage-free giga-scale integration, in Proc. IEEE ustom Integr ircuits onf., pp [2] Jeon.H, Y.-B. Kim, and M. hoi (2010), Standby leakage power reduction technique for nanoscale MOS VLSI systems, IEEE Trans. Instr. Meas., vol.59, no. 5, pp [3] Kawaguchi.H, K. Nose, and T. Sakurai (2000), A super cut-off MOS (SMOS) scheme for 0.5 V supply voltage with picoampere stand-by current, IEEE J.Solid- State ircuits, vol. 35, no. 10, pp [4] hang (2009), Fine-grainedpower gating for leakage and short-circuit power reduction by using asynchronous-logic, in Proc.IEEE Int. Symp. ircuits Syst., pp [5] Meng-hou hang, Member, IEEE, and Wei- Hsiang hang (2013), Asynchronous Fine-Grain Power-Gated Logic, IEEE vol.21,no.6. [6] Mutob.S, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, and J. Yamada (1995), 1V power supply high-speed digital circuit technology with multi threshold-voltage MOS, IEEE J. Solid- State ircuits, vol. 30, no. 8, pp [7] Nomura.M, Y. Ikenaga, K. Takeda, Y. Nakazawa, Y. Aimoto, and Y. Hagihara,c (2006), Delay and power monitoring schemes for minimizing power consumption by means of supply and threshold voltage control in active and standby modes, IEEE J. Solid State ircuits, vol. 41, no. 4, pp

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC Ms. Jeena Joy Electronics and Communication Engineering Vivekanandha College of Engineering for Women Tiruchengode, Erode, Tamilnadu, India.

More information

Fine-Grain Power-Gated Logic for Asynchronous Circuit Using Partial Charge Reuse

Fine-Grain Power-Gated Logic for Asynchronous Circuit Using Partial Charge Reuse IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 04, Issue 05 (May. 2014), V1 PP 52-60 www.iosrjen.org Fine-Grain Power-Gated Logic for Asynchronous Circuit Using Partial

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Design and Analysis of Energy Recovery Logic for Low Power Circuit Design

Design and Analysis of Energy Recovery Logic for Low Power Circuit Design National onference on Advances in Engineering and Technology RESEARH ARTILE OPEN AESS Design and Analysis of Energy Recovery Logic for Low Power ircuit Design Munish Mittal*, Anil Khatak** *(Department

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch R.Divya, PG scholar, Karpagam University, Coimbatore, India. J.Muralidharan M.E., (Ph.D), Assistant Professor,

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

CMOS circuits and technology limits

CMOS circuits and technology limits Section I CMOS circuits and technology limits 1 Energy efficiency limits of digital circuits based on CMOS transistors Elad Alon 1.1 Overview Over the past several decades, CMOS (complementary metal oxide

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Department of Electrical and Computer Systems Engineering

Department of Electrical and Computer Systems Engineering Department of Electrical and Computer Systems Engineering Technical Report MECSE-31-2005 Asynchronous Self Timed Processing: Improving Performance and Design Practicality D. Browne and L. Kleeman Asynchronous

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Mangayarkkarasi M 1, Joseph Gladwin S 2 1 Assistant Professor, 2 Associate Professor 12 Department of ECE 1 Sri

More information

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology Shaefali Dixit #1, Ashish Raghuwanshi #2, # PG Student [VLSI], Dept. of ECE, IES college of Eng. Bhopal, RGPV Bhopal, M.P. dia

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 6 (June. 2013), V1 PP 14-21 Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Certain Investigations on NAND Based Flip Flops for Glitch Avoidance Using Tanner

Certain Investigations on NAND Based Flip Flops for Glitch Avoidance Using Tanner Certain Investigations on NAND Based Flip Flops for Glitch Avoidance Using Tanner T.Suganya 1 PG scholar 1, Department of ECE, Nandha College of Technology, Erode Prof.S.P.Kesavan 2 Professor 2 Department

More information

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design M.S.Kavitha 1 1 Department Of ECE, Srinivasan Engineering College Abstract Design for testability

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic A.Kishore Kumar 1 Dr.D.Somasundareswari 2 Dr.V.Duraisamy 3 M.Pradeepkumar 4 1 Lecturer-Department of ECE, 3

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE 1 S. DARWIN, 2 A. BENO, 3 L. VIJAYA LAKSHMI 1 & 2 Assistant Professor Electronics & Communication Engineering Department, Dr. Sivanthi

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology Performance Analysis of Novel Domino Gate in Sub 45nm CMOS Technology AMIT KUMAR PANDEY, RAM AWADH MISHRA, RAJENDRA KUMAR NAGARIA Department of Electronics and Communication Engineering MNNIT Allahabad-211004

More information

DESIGN &ANALYSIS OF DUAL STACK METHOD FOR FUTURE TECHNOLOGIES

DESIGN &ANALYSIS OF DUAL STACK METHOD FOR FUTURE TECHNOLOGIES DESIGN &ANALYSIS OF DUAL STACK METHOD FOR FUTURE TECHNOLOGIES P. RAVALI TEJA 1, D. AJAYKUMAR 2 1 M. Tech VLSI Design, 2 M. Tech, Assistant Professor, Dept. of E.C.E, Sir C.R. Reddy College Of Engineering,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Parallel Self Timed Adder using Gate Diffusion Input Logic

Parallel Self Timed Adder using Gate Diffusion Input Logic IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 4 October 2015 ISSN (online): 2349-784X Parallel Self Timed Adder using Gate Diffusion Input Logic Elina K Shaji PG Student

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

Design and Implementation of Enhanced Leakage Power Reduction Technique in CMOS VLSI Circuits

Design and Implementation of Enhanced Leakage Power Reduction Technique in CMOS VLSI Circuits Design and Implementation of Enhanced Leakage Power Reduction Technique in CMOS VLSI Circuits Ayesha Firdous 1, M.Anand 2 and B.Rajan 3 1,2 Department of ECE, Dr.M.G.R. Educational and Research Institute

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Leakage Power Reduction Using Power Gated Sleep Method

Leakage Power Reduction Using Power Gated Sleep Method Leakage Power Reduction Using Power Gated Sleep Method Parameshwari Bhoomigari 1, D.v.r. Raju 2 1 M. Tech (VLSI& ES), Department of ECE, Prasad Engineering College 1 2 Professor (HOD), Department of ECE,

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism

Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism 134 HYOUNG-WOOK LEE et al : POWER-GATING STRUCTURE WITH VIRTUAL POWER-RAIL MONITORING MECHANISM Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism Hyoung-Wook Lee, Hyunjoong Lee, Jong-Kwan

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY Volume-, Issue-, March 2 POWER EFFICIENT DESIGN OF COUNTER ON.2 MICRON TECHNOLOGY Simmy Hirkaney, Sandip Nemade, Vikash Gupta Abstract As chip manufacturing technology is suddenly on the threshold of major

More information

LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE

LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE ABSTRACT Simran Khokha 1 and K.Rahul Reddy 2 1 ARSD College, Department of Electronics Science, University Of Delhi, New

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 3, Issue 11 (June 2014) PP: 1-7 Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information