Subthreshold Logic Energy Minimization with Application-Driven Performance

Size: px
Start display at page:

Download "Subthreshold Logic Energy Minimization with Application-Driven Performance"

Transcription

1 Subthreshold Logic Energy Minimization with Application-Driven Performance William Biederman, Daniel Yeager EECS, UC Berkeley Abstract Ultra low energy/instruction digital circuits in aggressively scaled technologies suffer from high σ/µ delay variation due to RDF as well as high temperature/throughput sensitivity. A myriad of solutions have been proposed in the literature to improve efficiency and robustness, including: device upsizing, adaptive body and supply voltage, power gating, logic family, pipeline depth optimization, replay on error, and replica timing. These solutions require significant design margin which degrades energy efficiency and/or fail to meet timing constraints in practical applications. We propose use of timing error detection to achieve minimum energy operation despite uncorrelated (RDF) delay variation and replica-based body bias to combat correlated (temperature, mean-process) delay variation. This enables a design with guaranteed performance for practical, real-world applications. Fig. 1. Classic energy/operation theoretic bound vs. supply voltage without throughput constraint. V th.4v [3]. I. INTRODUCTION Emerging applications in shipment tracking (both active and passive RFID), implantable and wearable biomedical electronics, and environmental monitoring have created new commercial markets that drive technology growth in a different way. These applications require a fixed level of computation, where the key performance metric is energy/op minimization. This provides maximum battery life for active devices or maximum wirelessly powered range for passive devices. Often, these devices are built into infrastructure such as roads or buildings with the expectation of 10-year battery life / replacement. The relationship between lifespan, battery size, frequency of operation and E/op is shown by the following equation: E batt = E op ft life (1) This implies that to achieve a minimum life span T life required by a fixed performance application, maximum E op is constrained by battery size. Any energy minimization beyond this point translates into additional performance/sophistications (such as signal processing) which opens new application spaces. Aggressive energy minimization typically results in subthreshold supply voltage for khz to MHz clock frequency applications. The MOS exponential current dependence on V th in the subthreshold regime causes V th variation to severely impact delay and energy. Section III reviews prior work in reducing and mitigating variation. However, prior works fail to address throughput needs for practical applications. We propose use of timing error detection in a energy-minimization control loop to guarantee throughput (Section VII). Global body bias is performed open loop using an analog compensation circuit that senses device V th. Optimization of the pipeline logic depth and device sizing given an energy tracking loop have not been presented in the literature, so we propose a method of selecting design parameters based on the process node and desired operating frequency (Section VI). II. PROBLEM ANALYSIS A. Impact of V th on minimum energy operating point The total energy consumed by a digital circuit can be modeled as: E T OT AL = αcvdd 2 + E LEAKAGE (2) E LEAKAGE = I LEAK V DD dt (3) Where α is the activity factor. Since both the leakage and switching energy are dependent on V DD, voltage scaling is required to minimize energy consumption. The switching energy decreases quadratically with V DD, while the leakage energy decreases linearly with V DD and the leakage current will reduce due to the DIBL effect (V DS will decrease as V DD decreases). The integral yields a dependency on the operating frequency, which, for a non-throughput constrained application, will be set by the delay and the pipeline logic depth. This yields an increasing, exponential dependence on V DD below V T and leads us to the classic minimum energy graph shown in Fig. 1. Since the optimal V dd is set by V th, continual process scaling has proved useful thus far. Table 1 shows the trend of decreasing V th with decreasing process nodes, however, as

2 TABLE I SCALING TRENDS Node L eff T ox V dd,nom V t,sat I off,nom I on,nom [nm] [nm] [nm] [V ] [V ] [na/µm] [µa/µm] Fig. 3. Sigma-to-mean of I on due to threshold voltage variation versus supply voltage [2]. Fig. 2. Measured microcontroller frequency vs. supply voltage. Delay increases exponentially below V th = 400mV. From [8]. the effective channel length becomes relatively large, DIBL plays an increasingly important effect on the leakage current. B. The minimum E/op sets the operating frequency For the traditional minimum energy/op analysis discussed, V dd is set to V th, which sets the characteristic gate delay. For a traditional design approach, the logic depth is fixed, yielding a set operating frequency, which is often non-ideal and slower than desired. This fact was illustrated in [8] and the frequency vs V dd graph is shown in Fig. 2. C. The impact of PVT variation on V th Subthreshold current is exponential with threshold voltage as can be seen from the following equation [3]: I sub vth exp( V gs V th ), m S S (4) ( ) 2 σvth 3σ ION /µ ION = 3 exp 1 (5) Consequently, any process-induced variations will cause an exponential change in threshold current. The primary V th variations are due to random dopant fluctuations (RDF) and transistor length variations. However, RDF dominates geometric variations since the variation dependency of V th due to DIBL reduces with scaling V dd. This is because Ion voltages become more sensitive to V th fluctuations, with the net result that Ion variation due to DIBL remains roughly constant [2]. Fig. 3 shows the effects of RDF and Leff induced V th variations have on the 3σ/µ of I on. This figure also highlights the importance of minimizing noise and variations in V dd. As can be seen in the subthreshold current equation, the current is exponentially dependent on temperature variation (V th = kt/q). This is problem is highlighted by the measured MCU frequency vs temperature from [8] in Fig. 2. Fig. 4. Measured V th distribution from a single die [8]. D. V th variation is not spatially correlated The cause of threshold variation for subthreshold logic was investigated in [9] without conclusion of any spatial correlation and validating that RDF is the main cause of variation. The V th variations measured off a test die is shown in Fig. 4, and reveals an almost perfect Gaussian distribution. Random, uncorrelated variations in V th mean that we can only use standard statistical modeling to predict performance of subthreshold logic. III. PRIOR WORK Both correlated and uncorrelated variations lead to several challenges under aggressive energy minimization design. The first is random variation from lithographic error and RDF, which leads to stochastic path delay. The second is a correlated path delay variation due to temperature and process variation. Prior works addressing these issues are discussed in the following subsections. A. Random Path Delay Variation Fig. 5 shows the increase of V th variation with process node due to random dopant fluctuations (RDF) and line edge roughness (LER). Table I shows that V th is remaining relatively constant, and consequently the relative variation (σ/µ) is rapidly increasing. High relative variation requires substantial timing margin, and this margin degrades our energy efficiency. As discussed in [2], threshold variation due to RDF and LER lacks spatial correlation and thus cannot be compensated though replica schemes such as [3]. Two techniques for

3 Fig. 5. V T variation for predictive technology nodes. From [1]. (a) Comparison of process node. (b) Comparison of V th at 45nm node. Fig. 6. Sigma-to-mean variation vs. transistor width and path length. Note that the highest variability data points also correspond to the most efficient designs (highest activity factor through low pipeline depth) and smallest device width (lowest active power). From [2]. reducing this variation are increase of the device active area (W*L) and averaging of delay variation through a long data path [2]. Fig. 6 illustrates the effect of device upsizing and data path length increase on relative delay variation. Device upsizing increases both active and leakage power. Increasing the logic depth reduces the activity factor, which reduces energy efficiency due to a higher leakage-to-active power ratio [2]. B. Correlated Path Delay Variation Excess path delay caused by temperature and/or process (mean doping level, oxide thickness, proximity correction effects, etc.) variation tends to be highly correlated across die. This correlated variation can cause the critical path to exceed the clock period. Replica (or canary ) circuits can be used to detect and compensate for correlated variation by adjusting the supply (AVS) or body bias (ABB) voltages [3]. IV. CHOICE OF TECHNOLOGY NODE Some authors have argued that leakage energy at highly scaled process nodes will make subthreshold operation less efficient and thus less attractive [4]. However, it is important that we continue to study energy minimization in aggressivelyscaled technology nodes for several reasons. First, use of general purpose (low V th ) vs. low power (high V th ) devices can significantly mitigate leakage at low operating frequencies Fig. 7. Energy per operation vs. frequency. The top figure shows that for a fixed V th, the choice of process node is limited by leakage. The bottom figure shows that leakage can be controlled by the choice of V th. From [5]. (Figs. 7(b) and 7(a)) [5]. Second, the economic side of Moore s Law will continue to motivate manufacturers to use smaller process nodes. This cost reduction is a key driver of new applications spaces that were previously not economically viable. Third, use of timing error detection eliminates the overdesign margin that penalizes conventional designs in highly scaled process nodes. We discuss this in detail throughout the remainder of this paper. V. PROPOSED SOLUTION To summarize to this point, we want to maximize our activity factor and minimize our device size to achieve high energy efficiency. We assume that device V th can be adjusted to provide optimal energy efficiency at our design frequency as in Fig. 7(b). Referring back to Fig. 6, this design point corresponds to the highest delay variation. This in turn requires the most timing margin, which requires us to increase our supply voltage or decrease V th to accommodate the worst-case variation. To break this trade-off, we propose dynamically biasing our circuit to accommodate the measured critical path delay. Timing measurements are made at the latch with a transition detector [6] [7]. If a signal arrives during the latch s transparency window (T D Q ), a timing error is generated. If a signal arrives before the latch s transparency window (T CLK Q ), no error is generated. This enables real-time control of the supply and body bias for minimum energy while exactly satisfying timing constraints (no design margin required). Design optimization (sizing, pipeline depth, V th )

4 TABLE II PERFORMANCE COMPARISON OF σ VT COMPENSATION TECHNIQUES AT 1MHZ FOR 90NM Design Strategy V min N E/op- no σ Vth E/op- w/ σ Vth Nominal [2] 130mV fj 31.8 fj Variability-aware [2] 210mV fj Our Design@1MHz 180mV 16-13fJ in this regime has not been presented in the literature. In Section VI we present a design procedure for subthreshold circuits operating in this regime and Section VII describes the enabling circuitry in more detail. VI. ENERGY OPTIMIZATION IN THE PRESENCE OF RDF A. Fixed Throughput Design Analysis For a fixed throughput design, the leakage energy can no longer be modeled by Eq 2. Instead, leakage energy/op is now proportional to the operating frequency as long as equation 6 is valid. 1 f op (6) t delay N In Eq 2, the integral of E LEAK resulted in the exponential dependence on V T from t delay (Eq. 7) to cancel with that from I LEAK (Eq. 8). Now, however, the resulting energy equation for a fixed throughput design is shown in Eq. 9. E σvt t delay = KC gv DD Vgs VT (7) ηv I s e th Vgs VT ηv I sub = I s e th and I LEAK = I sub Vgs=0 (8) = αcvdd 2 + V DD I LEAK (V T )ξ(v T, σ VT )dv T (9) f op Here, K is a technological constant and ξ(v T, σ VT ) is the gaussian probability distribution function. The standard deviation of V T vs process node is modeled by [1] using Eq. 10. ( ) AVth σ Vth = (10) W L We can now apply this new energy model to a MATLAB simulation in order to find the optimal sizing and pipeline depth for a fixed throughput and process node. B. Design Optimization As proven in [10] the optimal V DDopt and V Topt for the minimum energy operation in subthreshold can be set as a function of frequency by: V Topt V DDopt = ηv th (2 lambertw (β)) (11) ( ) fop KC g L DP V DD = V DDopt ηv th ln (12) where β = 2Cα W NKC e2 (13) The remaining design parameters are the logic depth and upsizing. The minimum values for these devices can be determined through the use of a yield parameter. For our I s Fig. 8. The minimum energy per instruction vs pipeline depth for different yield constraints. Fig. 9. Plot of the minimum energy per instruction across frequency vs process nodes. application space, the two critical constraints are throughput and energy. Since RDF variations are uncorrelated the percent of devices that meet these contraints can be equated to: %Y total = Y fop Y Eop (14) The worst case energy under variation from Eq 9 is determined by the worst case leakage current. Therefore, our energy yield is determined by [2]: I leakmax = I S e µ Vth ( σ V th ) 2 (P + conf P (e ( σ V th mv ) 2 T 1)) (15) Where µ Vth is set by the tracking loop and P is the total number of gates in the circuit, can be considered an applicationspecific design constant. Consequently, the only method available for altering I leakmax to meet a yield specification is by reducing σ Vth via upsizing. Now only the logic depth is left to be specified via the yield on the timing constraint. The worst case delay is specified as [2]: t delaymax = µ(t delay ) + confσ(t delay ) (16) σ(lnt delay ) = ln(1 + 1 N (et2 1)) (17)

5 Fig. 10. Circuit for open-loop body bias adjustment across temperature and process corner. µ(lnt delay ) = ln( 1 2 ηc LV DD 1 I S e VDD ) (18) +µ VT ln( N 3 N 1 + e t2 ) (19) Where t = σ Vth /( ). Now, MATLAB can be used to solve for the minimum energy design (sizing and logic depths) depending on yield for specific process and frequency. The following iterative algorithm can be used to compute the optimal design: ALGORITHM - detailed steps of iterative approach for (some frequency), for (some L), for (some yield) sweep N to meet f opyield ( sweep W to meet E yield ( E σvt (V DD, V T ) ) ) take min of E(W sweep, N sweep ) that meet overall yield end; end; end; Figure 8 shows the N iteration solution from MATLAB in 90nm, at 1MHz, with varying yield constraints. Decreasing the yield constraint result in more than 25% energy savings from 95% yield to 40%. At smaller process nodes, the fabrication savings could compensate for the cost of unusable chips. Figure 9 was generated in MATLAB and shows a plot of the minimum energy operating point over frequency for any given process node when you cannot change your V th from the process norm by using different process flavors and body biasing. This trend is argued by [4] and exemplifies the importance of choosing device flavors and implementing error detection circuitry and accurate body biasing. Table II shows a comparison of our design strategy versus others in the literature. These results are from MATLAB simulations with fitting parameters extracted from a predictive technology model in 90nm with 80% yield. Although, the E/op is lower, we are using a different technology node, with fitting parameters and leakage values from a predictive model, and under a throughput constraint so it is hard to make a direct comparison. However, the general trend of V m in, and N remains the same, indicating that the analysis technique is reasonable. Fig. 11. corner.,-.$ &(/%$ '(/%$!"%$!"#$ Fig. 12. Automatic open-loop body bias voltage vs. temperature and process '$5)4/,$ 53+,6$7$ 53+,6$8$ ;)&<$;/3=$>'%$!&&$ '::(:=+$ 9)%+:)--':$ Minimum energy tracking loop with RDF robustness. VII. MINIMUM ENERGY TRACKING LOOP,-.$ &()*+$ '()*+$ A pipelined logic design with latches that detect time borrowing (such as Razor-II [6] or TBTD [7]) allows a circuit automatically to bias itself for peak energy efficiency (Fig. 12). This in turn enables design at the minimum energy point without leaving timing margin. The operation is straightforward: if any latch detects input data transitions after the clock transition, an error signal is generated. The error signals from each pipeline stage pipeline stage are subsequently combined with a logic OR. A controller detects errors and increases the supply voltage to increase the timing slack. Conversely, the supply voltage is decreased after N ef error-free clock cycles. Note that the final pipeline stage should leave sufficient margin so that time borrowing by the previous stage does not cause a timing error at a hard clock edge. Also notice that the controller ensures that the amount of borrowed time cannot accumulate; errors force the timing margin to increase via an increase in supply voltage. Body bias can be employed to increase the energy efficiency of the design. This is not required for functionality of the supply voltage controller, and the controller automatically adapts to the body bias because the controller is measuring actual circuit timing. A simple, robust method for adjusting the body bias is shown in Fig. 10. Generated bias voltages are shown in Fig. 11 and correspond well with simulations of the optimal body bias for an inverter chain. The reason the circuit works is that it forces a constant V th ; for a given frequency and activity factor, the optimal V th should stay constant.

6 (a) SS Corner possible to design low energy/op circuits in deep sub-micron technologies while still achieving minimum performance. We proposed an application-driven design approach to specify design choices for a desired yield. This analysis was applied to an iterative MATLAB solver to determine the ideal width and logic depth given our specified design constraints. We employed this design in a Spectre simulation using dynamic biasing of our circuit to accommodate the measured critical path delay. We compared our performance to other design techniques found in the literature and found that our method is comparable and possibly superior with more accurate model parameters and under certain throughput, yield and process node scenarios. We also conclude that when designing for minimum energy operation, it is desirable to continue using scaled process nodes, despite the fact that σ Vth increases. The increase in V th variation can be at least partially compensated for in design techniques, and from the decreased fabrication costs. (b) FF Corner (c) FF Corner with body bias Fig. 13. Energy tracking loop settling transient. The upper plots show the supply voltage and detected errors. The lower plots show the pipeline input and output; the timing converges to 1/2 clock cycle. VIII. ENERGY TRACKING LOOP RESULTS The energy tracking loop of Fig 12 was simulated across process corners to demonstrate the ability of the loop to compensate for these variations. Note that the variations are applied locally to the inverters in the pipeline stage; a replica circuit could not detect these RDF-induced timing variations. Figs. 13(a) and 13(b) demonstrate the operation of the loop. Initially the supply voltage is set too high; the controller decreases the supply voltage until timing errors are detected. Fig. 13(c) shows the affect of the body bias circuitry sensing and correcting global V th variation due to temperature or correlated manufacturing variation. In the FF corner, the body bias generator creates a negative body bias in order to compensate for the low V th. This results in a higher (more optimal) supply voltage, as shown in Fig. 13(c). REFERENCES [1] M. Abu-Rahma and M. Anis, Variability in vlsi circuits: Sources and design considerations, in Circuits and Systems, ISCAS IEEE International Symposium on, , pp [2] B. Zhai, S. Hanson, D. Blaauw, and D. Sylvester, Analysis and mitigation of variability in subthreshold design, in Proceedings of the 2005 international symposium on Low power electronics and design. ACM, 2005, p. 25. [3] Y. Ramadass and A. Chandrakasan, Minimum energy tracking loop with embedded DC-DC converter enabling ultra-low-voltage operation down to 250 mv in 65 nm CMOS, IEEE Journal of Solid State Circuits, vol. 43, no. 1, p. 256, [4] M. Seok, D. Sylvester, and D. Blaauw, Optimal technology selection for minimizing energy and variability in low voltage applications, in Proceeding of the 13th international symposium on Low power electronics and design. ACM, 2008, pp [5] D. Bol, D. Flandre, and J. Legat, Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits, in Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design. ACM, 2009, pp [6] S. Das, C. Tokunaga, S. Pant, W. Ma, S. Kalaiselvan, K. Lai, D. Bull, and D. Blaauw, RazorII: In situ error detection and correction for PVT and SER tolerance, IEEE Journal of Solid-State Circuits, vol. 44, no. 1, pp , [7] K. Bowman, J. Tschanz, N. Kim, J. Lee, C. Wilkerson, S. Lu, T. Karnik, and V. De, Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamicvariation tolerance, ISSCC 2008, [8] B. Zhai, S. Pant, L. Nazhandali, J. Olson, A. Reeves, M. Minuth, R. Helfand, D. Blaauw, and T. Austin, Energy Efficient Subthreshold Processor Design, IEEE Journal of Solid-State Circuits (JSSC), [9] N. Drego, A. Chandrakasan, and D. Boning, Lack of Spatial Correlation in MOSFET Threshold Voltage Variation and Implications for Voltage Scaling, IEEE transactions on semiconductor manufacturing, vol. 22, no. 2, p. 245, [10] B. Calhoun, A. Wang, and A. Chandrakasan, Modeling and sizing for minimum energy operation in subthreshold circuits, IEEE Journal of Solid-State Circuits, vol. 40, no. 9, pp , IX. CONCLUSION A variety of techniques exist in the literature to improve efficiency and robustness for variation in subthreshold logic with little concern for throughput constraint. In order to meet the economical demands imposed by More s Law, it must be

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

cq,reg clk,slew min,logic hold clk slew clk,uncertainty

cq,reg clk,slew min,logic hold clk slew clk,uncertainty Clock Network Design for Ultra-Low Power Applications Mingoo Seok, David Blaauw, Dennis Sylvester EECS, University of Michigan, Ann Arbor, MI, USA mgseok@umich.edu ABSTRACT Robust design is a critical

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Designing Tunable Subthreshold Logic Circuits Using Adaptive Feedback Equalization Mahmoud Zangeneh, Student Member, IEEE, and Ajay Joshi,

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

CMOS circuits and technology limits

CMOS circuits and technology limits Section I CMOS circuits and technology limits 1 Energy efficiency limits of digital circuits based on CMOS transistors Elad Alon 1.1 Overview Over the past several decades, CMOS (complementary metal oxide

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

BIOLOGICAL and environmental real-time monitoring

BIOLOGICAL and environmental real-time monitoring 290 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS Stuart N. Wooters, Student Member, IEEE, Benton

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

Pipeline Strategy for Improving Optimal Energy Efficiency in Ultra-Low Voltage Design

Pipeline Strategy for Improving Optimal Energy Efficiency in Ultra-Low Voltage Design Pipeline Strategy for Improving Optimal Energy Efficiency in Ultra-Low Voltage Design Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti 1, David Blaauw, Dennis Sylvester University of Michigan, Arizona State

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

VLSI Based Design of Low Power and Linear CMOS Temperature Sensor

VLSI Based Design of Low Power and Linear CMOS Temperature Sensor VLSI Based Design of Low Power and Linear CMOS Temperature Sensor Poorvi Jain 1, Pramod Kumar Jain 2 1 Research Scholar (M.Teh), Department of Electronics and Instrumentation,SGSIS, Indore 2 Associate

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A Power-efficient 32bit ARM ISA Processor using Timingerror. Detection and Correction for Transient-error Tolerance. and Adaptation to PVT Variation

A Power-efficient 32bit ARM ISA Processor using Timingerror. Detection and Correction for Transient-error Tolerance. and Adaptation to PVT Variation A Power-efficient 32bit ARM ISA Processor using Timingerror Detection and Correction for Transient-error Tolerance and Adaptation to PVT Variation David Bull 1, Shidhartha Das 1, Karthik Shivashankar 1,

More information

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

THE energy consumption of digital circuits can drastically

THE energy consumption of digital circuits can drastically 898 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 12, DECEMBER 2012 Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design Nele Reynders, Student Member,

More information

Embedded Error Compensation for Energy Efficient DSP Systems

Embedded Error Compensation for Energy Efficient DSP Systems Embedded Error Compensation for Energy Efficient DSP Systems Sai Zhang Student Member, IEEE and Naresh R. Shanbhag, Fellow, IEEE Abstract Algorithmic noise-tolerance (ANT) is an effective statistical error

More information

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

ALONG with process improvements, voltage scaling has

ALONG with process improvements, voltage scaling has 952 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 12, DECEMBER 2012 Design Methodology for Voltage-Overscaled Ultra-Low-Power Systems Dongsuk Jeon, Student Member, IEEE, Mingoo

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Muhammad Umar Karim Khan Smart Sensor Architecture Lab, KAIST Daejeon, South Korea umar@kaist.ac.kr Chong Min Kyung Smart

More information

Timing-Error Detection Design Considerations in Subthreshold: An 8-bit Microprocessor in 65 nm CMOS

Timing-Error Detection Design Considerations in Subthreshold: An 8-bit Microprocessor in 65 nm CMOS J. Low Power Electron. Appl. 2012, 2, 180-196; doi:10.3390/jlpea2020180 OPEN ACCESS Article Journal of Low Power Electronics and Applications ISSN 2079-9268 www.mdpi.com/journal/jlpea/ Timing-Error Detection

More information

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal Department of ECE, Auburn University, Auburn, AL 36849, USA kyungkim@auburn.edu,

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in Multi Voltage Domain

Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in Multi Voltage Domain Indian Journal of Science and Technology, Vol 7(S6), 82 86, October 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Analysis and Design of Low Power Ring Oscillators with Frequency ~ khz

Analysis and Design of Low Power Ring Oscillators with Frequency ~ khz Analysis and Design of Low Power Ring Oscillators with Frequency ~10-100 khz PRESENTED BY: PIYUSH KESHRI 3 rd year Undergraduate Student Indian Institute Of Technology, Kanpur, India University Of Michigan

More information

Reliable Minimum Energy CMOS Circuit Design

Reliable Minimum Energy CMOS Circuit Design Reliable Minimum Energy CMOS Circuit Design Sean Keller, Siddharth S. Bhargav, Chris Moore Alain J. Martin Department of Computer Science California Institute of Technology Pasadena, CA 91125, USA {sean,cc,alain}@async.caltech.edu

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Optimizing addition for sub-threshold logic

Optimizing addition for sub-threshold logic Optimizing addition for sub-threshold logic David Blaauw Department of Electrical Engineering and Computer Science University of Michigan, Ann Arbor, MI 89, United States Email: blaauw@umich.edu James

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

EECS3611 Analog Integrated Circuit Design. Lecture 3. Current Source and Current Mirror

EECS3611 Analog Integrated Circuit Design. Lecture 3. Current Source and Current Mirror EECS3611 Analog ntegrated Circuit Design Lecture 3 Current Source and Current Mirror ntroduction Before any device can be used in any application, it has to be properly biased so that small signal AC parameters

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Reduction of Minimum Operating Voltage (V DDmin ) of CMOS Logic Circuits with Post-Fabrication Automatically Selective Charge Injection

Reduction of Minimum Operating Voltage (V DDmin ) of CMOS Logic Circuits with Post-Fabrication Automatically Selective Charge Injection Reduction of Minimum Operating Voltage (V min ) of CMOS Logic Circuits with Post-Fabrication Automatically Selective Charge Injection Kentaro Honda, Katsuyuki Ikeuchi, Masahiro Nomura *, Makoto Takamiya

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V

A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V Divya Akella Kamakshi 1, Aatmesh Shrivastava 2, and Benton H. Calhoun 1 1 Dept. of Electrical Engineering, University of Virginia, Charlottesville,

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. http:// DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. Shivam Mishra 1, K. Suganthi 2 1 Research Scholar in Mech. Deptt, SRM University,Tamilnadu 2 Asst.

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Subthreshold Dual Mode Logic

Subthreshold Dual Mode Logic IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 5, MAY 2013 979 Subthreshold Dual Mode Logic Asaf Kaizerman, Sagi Fisher, and Alexander Fish Abstract In this brief, we introduce

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Tuesday, March 22nd, 9:15 11:00

Tuesday, March 22nd, 9:15 11:00 Nonlinearity it and mismatch Tuesday, March 22nd, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 22nd of March:

More information

Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches

Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches 1 Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches Wael M. Elsharkasy, Member, IEEE, Amin Khajeh, Senior Member, IEEE, Ahmed M. Eltawil, Senior Member, IEEE,

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER

SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER H. Kanitkar and D. Kudithipudi Department of Computer Engineering, Rochester Institute of Technology, Rochester, NY-14623 Email:

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction

An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction S.Anil Kumar M.Tech Student Department of ECE (VLSI DESIGN), Swetha Institute

More information

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Low Power Design. Prof. MacDonald

Low Power Design. Prof. MacDonald Low Power Design Prof. MacDonald Power the next challenge! l High performance thermal problems power is now exceeding 100-200 watts l difficult to remove heat from system l slows down circuits - mobilities

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information