A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver

Size: px
Start display at page:

Download "A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver"

Transcription

1 A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver Vadim Smolyakov 1, Dimpesh Patel 1, Mahdi Shabany 1,2, P. Glenn Gulak 1 The Edward S. Rogers Sr. Department of Electrical & Computer Engineering, University of Toronto 1 Department of Electrical Engineering, Sharif University of Technology 2 Department of Electrical and Computer Engineering University of Toronto Toronto, ON, Canada M5S 3G4 {svadim, pateldim, mahdi, Abstract This paper presents a prototype of a highthroughput 4x4 64-QAM MIMO receiver consisting of a channel matrix QR decomposition, a soft-output K- Best MIMO detector and a Convolutional Turbo Code decoder. The proposed MIMO receiver provides low processing latency and a pipelined architecture scalable to a larger number of antennas and constellation order. Therefore, it is suitable for LTE-Advanced and IEEE m broadband wireless standards. A rapid prototyping platform interfacing MATLAB with Xilinx ISE was used in the development of the 4x4 64-QAM MIMO receiver. The receiver utilizes 96% of the slice LUTs and 78% of slice registers on Virtex-5 FX130T FPGA, operating at a maximum frequency of 125MHz. Keywords-Architecture and Implementation: 1. Programmable and Reconfigurable Architectures - MIMO receiver, OFDM, Soft-output K-Best detector, QR decomposition, CTC decoder, VLSI, FPGA, m, LTE Advanced. I. INTRODUCTION Multiple-Input Multiple-Output (MIMO) systems are commonly included in 4G wireless standards that require high data rates and high reliability of data transmission. Multiple antenna systems offer a number of advantages such as spatial multiplexing for higher capacity and diversity gain for improved bit error rate performance. However, the design of MIMO receivers carries a number of challenges. First, a MIMO detection scheme must be scalable as the number of antennas and the constellation order increase in order to meet high throughput requirements of emerging wireless standards, such as IEEE m and LTE- Advanced. Second, the performance of the detection algorithm must be close to that of a Maximum Likelihood (ML) detector. Third, an appropriate VLSI architecture is required to yield high-throughput, energyefficient implementation of the MIMO receiver. An FPGA prototyping platform that enables architectural verification of the receiver is particularly useful in developing answers to the above challenges. Moreover, a rapid prototyping system that interfaces Matlab with Xilinx ISE allows for accelerated simulations and systematic hardware development. The MIMO receiver presented in this paper was prototyped using a Virtex-5 FX130T FPGA platform. It consists of three main processing blocks: K-Best soft-decision MIMO detector, QR channel matrix decomposition (QRD), and a Convolutional Turbo Code (CTC) decoder. The presented receiver provides a low-complexity, highthroughput architecture with near-optimum ML performance scalable to a higher number of antennas and constellation order. II. SYSTEM MODEL Consider a MIMO system with N T transmit and N R receive antennas and the equivalent baseband model of the Rayleigh fading channel described by a complex-valued N R N T channel matrix H. The complex equivalent baseband model can be expressed as Ỹ = H S + Ṽ (1) where S =[ s 1, s 2,..., s NT ] T is the N T -dimensional transmitted signal vector, in which each element is drawn from a symmetric M-QAM constellation Ω={ M +1,..., 1, +1,...,+ M 1}, Ỹ = [ỹ 1, ỹ 2,..., y NR ] T is the received symbol vector Figure 1. System Diagram: 4x4 64-QAM MIMO Receiver /10/$ IEEE 385 Asilomar 2010

2 and Ṽ =[ṽ 1, ṽ 2,..., v NR ] T is an independent identically distributed (iid) Gaussian noise vector. We assume that the channel H is quasi-static and is updated every four channel uses. A complex N R N T MIMO system can be modeled as an equivalent 2N R 2N T real system, Y = HS + V, via Real Value Decomposition (RVD). Thus, the objective of the MIMO detection system is to find the closest transmitted vector S based on the observation Y such that the Euclidean distance Y HS 2 is minimized: S = arg min Y HS 2 (2) S Ω 2N T The K-Best detector solves the above problem with linear complexity [1]. Furthermore, a soft-output K-Best detector provides a likelihood estimate of whether the transmitted bit was a zero or a one by computing a Log-Likelihood Ratio (LLR) for each received bit, defined as: LLR(x k y) =ln P [x k =1 y] P [x k =0 y] { } { } min X 1 i y Hs 2 σ 2 min X 0 i y Hs 2 σ 2 = MinPED 1 i MinPED 0 i (3) where (3) is derived based on the standard simplifications [3], and X 1 i and X 0 i represent all vectors X with bit X i being 1 and 0, respectively; the minimum Partial Euclidean Distance (PED) for the i th bit in X being 1 or 0 is denoted by MinPED 1 i and MinPED 0 i, respectively. LLR output is important in iterative Error Correction Codes (ECC) that require soft input for decoding, such as Low-Density Parity-Check (LDPC) and Convolutional Turbo Codes (CTC). The Soft K- Best detector operates on an upper triangular matrix R, generated from the channel matrix H by the QR decomposition block: H = QR. After a nulling multiplication of the received signal by Q H, the equivalent real-valued model can be expressed as: Z = RS + W (4) where Z = Q H Y, W = Q H V, and Q H Q = I since Q is a unitary matrix. The triangular nature of the matrix R is used to find the closest transmitted vector S in (2) as follows: 2N T S = arg min s j Ω 2N T i=1 2N T z i j=i R ij s j 2 (5) which can be thought of as a detection problem in a tree with 2N T levels and M children per parent node. The MIMO detection problem in (5), the LLR computation in (3), QR decomposition and the CTC decoder are implemented in the presented FPGA prototype. III. SYSTEM IMPLEMENTATION A VLSI implementation of the 4x4 64-QAM MIMO receiver is presented in this section. The receiver consists of three main processing blocks: K- Best soft-output MIMO detector (Soft K-Best) [1], QR decomposition (QRD) [4], and CTC decoder [5] reviewed below. A. K-Best Soft-Output MIMO Detector The architecture for the K-Best MIMO detector is presented in Figure 2. Figure 2. K-Best Soft-Output MIMO Detector Architecture. [2] The K-Best detector consists of 2N T =8stages, denoted L8 through L1, corresponding to the 8-level detection tree. The first level of the tree processes the last row in equation (5) due to the upper triangular structure of the matrix R. The Level-I block expands all M =8possible children in Ω and calculates the Partial Euclidean Distance (PED) for each path, forming K 1 at the output. For each of the paths in K 1, a child with the lowest PED, called the First Child (FC), is found in Level-II. The Sorter block sorts all 8 FCs from each path in 4 clock cycles and 1) Find the K-Best elements with minimum PED in level 1 (K 1). 2) For l =2:1:2N T Find elements with minimum PED in K l 1. Call this set C l. 3) For k =1:K 3.1) Select an element in C l with the lowest PED. 3.2) Announce this element as the next K-Best candidate in level l (add it to K l ). 3.3) Replace this element with the next lowest PED element. End End Table I THE ON-DEMAND HARD K-BEST MIMO DETECTION ALGORITHM [1] 386

3 transfers the sorted FCs from L7 to the next level L6. Note that every level contains two Processing Elements (PEs): PE-I and PE-II. The PE-I block takes the FCs of each level and with a PED sorter generates the K-Best paths of the current level, while retaining K-1 discarded paths (DP). The PE-II block receives the K-Best paths of the previous level oneby-one, computes their First Child (FC) and sorts the K-Best paths as they arrive. The process repeats for subsequent stages. The On-Demand Hard K-Best MIMO detection algorithm [1] is summarized in Table I. The soft-output extension is implemented based on the Modified K-Best Schnorr-Euchner (MKSE) scheme [9] by utilizing information contained in the discarded paths at intermediate tree levels to compute the LLR. The presented Soft K-Best detection scheme implements three improvement ideas that reduce computational complexity of MKSE: relevant discarded paths selection, last stage on-demand expansion, and relaxed LLR computation scheme [2]. B. QR Decomposition A QR decomposition (QRD) block decomposes the channel matrix H into a unitary matrix Q and an upper-triangular matrix R. The QRD core was designed for K-Best 4x4 MIMO detector with K=10. Thus, the K-Best detector requires a new input Z, according to (4), every K=10 clock cycles. Assuming that the channel is quasi-static and is updated every four channel uses, the QRD core was designed to generate a new 8 8 real-valued matrix R and four 8 1 vectors Z every 40 clock cycles. In order to meet the challenging 40 clock cycle latency requirement, a novel pipelined architecture employing unrolled CORDIC processors iteratively was developed for QR decomposition [4]. The architecture, shown in Figure 3, consists of six pipelined stages. The Input Controller and Output Controller stages interface the QRD core with the preceding and succeeding stages in the MIMO receiver. The four central Stages 1-4 decompose H into QR and compute the four Z vectors. The computation is carried out using multidimensional Givens rotations, Householder transformations, and the conventional (2D) Givens rotations to reduce computational complexity and achieve higher execution parallelism. The increase in throughput Figure 3. QR Decomposition Architecture [4]. arises from annihilating multiple Hi,j Re elements simultaneously via multi-dimensional Givens rotations and Householder reflections. The circuit complexity is reduced by implementing multi-dimensional rotations with a series of shift and add operations. Stages 1-4 contain independent Stage Controllers that provide control signals to direct appropriate data in and out of the CORDIC processor. The CORDIC modules are designed to minimize gate count by performing CORDIC iterations in each half of the clock cycle [4]. Thus, QRD implementation meets the processing latency specification of 40 clock cycles, while maximizing resource utilization and minimizing gate count. C. CTC Decoder The Convolutional Turbo Code (CTC) decoder performs iterative decoding of the channel data encoded in compliance with the IEEE specification [6]. A Xilinx LogiCORE IP [5] consisting of parallel Soft-Input Soft-Output (SISO) processors was used to realize the CTC decoder. The top-level architecture of the decoder IP is shown in Figure 4, in which A and Figure 4. CTC Decoder Architecture [5]. B represent non-interleaved systematic LLR data; and Y 1, W 1, Y 2, W 2 are de-punctured and de-interleaved LLR parity data. The two SISO decoders exchange information using extrinsic signals ex1 and ex2 as shown in Figure 4. One complete iteration of the CTC occurs when both SISO decoders finish generating new extrinsics. Although, only 2 SISO decoders are shown in Figure 4, 8 SISO decoders were used in the FPGA prototype. IV. METHODOLOGY The MIMO receiver prototype was implemented on an Alpha-Data ADM-XRC-5T2 FPGA platform [7] using a Virtex-5 FX130T FPGA. A rapid prototyping methodology similar to [8] was employed in architectural development and verification of the 387

4 Virtex-5 FX130T FPGA Soft K-Best QRD CTC MIMO Receiver (4x4 64-QAM) Resource Summary: Number of Flip-Flops 23,970 5,013 N/A 29,810 Number of Adders N/A 620 Number of Multipliers 14 0 N/A 14 Number of Block-RAM (298) 0%(0) 0%(0) 8%(24) 14%(44) Number of DSP48Es (320) 4%(14) 0%(0) 5%(16) 9%(30) Number of IOs Device Utilization: Number of Slice Registers (81,920) 30%(24,761) 6%(5,026) 34%(28,341) 78%(64,272) Number of Slice LUTs (81,920) 39%(32,550) 7%(6,329) 39%(32,694) 96%(79,219) Timing Analysis: Maximum Frequency 125 MHz 125 MHz 125 MHz 125 MHz MIMO receiver blocks. This prototyping methodology provides: 1) Experimental grounds for improving the design at the algorithmic and architectural levels 2) Matlab integrated environment that simplifies prototype verification 3) An API interface developed in Matlab and C providing access to FPGA and external-to- FPGA resources. Table II FPGA RESOURCE AND PERFORMANCE SUMMARY. Figure 5 shows the Signal Flow Graph (SFG) of a PED sorter used in the Soft K-Best detector to sort K minimum PED elements in K/2 clock cycles implemented using the methodology described above. The methodology enables a direct connection between a Signal Flow Graph and a hardware prototype for fast algorithmic and architectural level verification. Figure 6. FPGA Methodology: Matlab/Simulink with Xilinx ISE/SysGen. Figure 6 shows the MIMO Receiver instantiated as a black box along with the source and sink interfaces used to generate and capture real-time data. The Matlab/Simulink environment is interfaced with the FPGA platform via PCI bus. The interface logic is provided as an IP module by Alpha-Data including API interfaces in Matlab and C. Additional functionality such as single step testing, user design templates and user register maps are included. Figure 5. FPGA Methodology: Signal Flow Graph of a PED Sorter; Implemented in Simulink and Prototyped with Xilinx ISE. V. RESULTS The 4x4 64-QAM MIMO receiver prototype was implemented on Virtex-5 FX130T FPGA. The design summary, including the main partitions, is presented in Table II. In addition to the soft-output K-Best detector (Soft K-Best), QR decomposition (QRD), and Convolutional Turbo Code (CTC) decoder, the MIMO receiver includes qrd-soft and soft-ctc interfaces. The interface logic implements the required input/output scheduling sequence for the three processing blocks. The MIMO receiver utilizes 96% of 388

5 slice LUTs and 78% of slice registers, operating at a maximum frequency of 125 MHz. The critical path of the receiver was found in the CTC decoder IP. The Soft K-Best detector contributes 70% of all addition operations and requires fourteen bit multipliers that perform all multiplication operations, in contrast to the shift-and-add CORDIC processor arithmetic of the QRD. The CTC decoder IP occupies 8% of the FPGA Block-RAM, while the additional 6% are consumed by the qrd-soft and soft-ctc interfaces. Notice that the Soft K-Best [2] and the QRD [4] architectures integrated in the MIMO receiver do not require embedded memory. The DSP48E resources were used to accelerate computation in Soft K-Best and CTC decoder blocks. In addition, the IO count of the MIMO receiver is suitable for on-chip implementation. The following set of parameters was used in the implementation of the 4x4 64-QAM MIMO receiver prototype. The K-Best soft-output detector operated with K=10 and generated two LLR output streams on each edge of the clock, quantized to 13-bit word-length and 7 fractional bits. The QRD core was configured for eight CORDIC iterations with fixed-point wordlength precision of 16 bits with 7 fractional bits based on extensive Matlab simulations. The CTC decoder was parameterized to use eight parallel SISO decoders with a maximum data-block size of 600 bytes (2,400 bit-pairs) and an 8-bit LLR input resolution. The CTC decoder IP, which supports a maximum throughput of 189 Mbps [5], was configured for 8 iterations. The decoder was parameterized for increased throughput at the cost of FPGA resources. Figure 7 shows the BER performance comparison of K-Best MIMO detection schemes based on fixedpoint simulation results of the MIMO receiver. A 4x4 64-QAM modulation scheme with a code rate of 1/2 was assumed for a data-block size of 600 bytes and a quasi-static channel updated every 4 channel uses. The BER plot shows that the presented soft-output K-Best MIMO detector improves BER performance by approximately 2.3 db at BER =10 3 compared to the Hard K-Best implementation [1]. Figure 7 also shows that the presented QR decomposition achieves BER performance very close to the ideal QR decomposition based on Givens rotations, while providing the advantage of low-latency. VI. CONCLUSIONS An FPGA prototype of a 4x4 64-QAM MIMO receiver was presented. The prototype integrates a high-throughput low-complexity soft-output K-Best detector, QR channel matrix decomposition, and a Convolutional Turbo Code (CTC) decoder. The implemented MIMO receiver is scalable to large QAM Figure 7. BER Performance of K-Best MIMO Detection Schemes (4x4, 64-QAM, K=10). constellations and is thus suitable for applications defined by emerging IEEE m and LTE Advanced wireless standards. A rapid prototyping methodology that integrates Matlab with Xilinx ISE was used in the development of the MIMO receiver. ACKNOWLEDGMENTS The financial support by NSERC and IP blocks provided by Xilinx are gratefully acknowledged. REFERENCES [1] M. Shabany and P. G. Gulak, A 0.13um CMOS, 655Mbps, 4x4 64-QAM, K-Best MIMO Detector. IEEE International Solid-State Circuits Conference (ISSCC), pp , [2] D. Patel, V. Smolyakov, M. Shabany and P. G. Gulak, VLSI Implementation of a WiMAX/LTE Compliant Low-Complexity High-Throughput Soft-Output K-Best MIMO Detector IEEE International Symposium on Circuits and Systems (ISCAS), pp , May [3] B. M. Hochwald and S. ten Brink, Achieving Near- Capacity on a Multiple-Antenna Channel, IEEE Transactions on Communications, pp , March [4] D. Patel, M. Shabany, and P. G. Gulak, A Low- Complexity High-Speed QR Decomposition Implementation for MIMO Receivers, IEEE International Symposium on Circuits and Systems (ISCAS), pp , May [5] Xilinx Inc., IEEE e CTC Decoder v3.1, Product Specification, pp. 1 19, September [6] IEEE Standard for local and metropolitan area networks. Part 16: Air Interface for Broadband Wireless Access Systems, pp , May [7] Alpha Data Inc [8] L. G. Barbero and J. S. Thompson, FPGA Design Considerations in the Implementation of a Fixed- Throughput Sphere Decoder for MIMO Systems, International Conference on Field Programmable Logic and Applications (FPL), pp , August [9] Z. Guo and P. Nilsson, Algorithm and Implementation of the K-Best Sphere Decoding for MIMO Detection, IEEE Journal on Selected Areas in Communications, pp , March

MODIFIED K-BEST DETECTION ALGORITHM FOR MIMO SYSTEMS

MODIFIED K-BEST DETECTION ALGORITHM FOR MIMO SYSTEMS VOL. 10, NO. 5, MARCH 015 ISSN 1819-6608 006-015 Asian Research Publishing Network (ARPN). All rights reserved. MODIFIED K-BES DEECION ALGORIHM FOR MIMO SYSEMS Shirly Edward A. and Malarvizhi S. Department

More information

Flex-Sphere: An FPGA Configurable Sort-Free Sphere Detector For Multi-user MIMO Wireless Systems

Flex-Sphere: An FPGA Configurable Sort-Free Sphere Detector For Multi-user MIMO Wireless Systems Flex-Sphere: An FPGA Configurable Sort-Free Sphere Detector For Multi-user MIMO Wireless Systems Kiarash Amiri, (Rice University, Houston, TX, USA; kiaa@riceedu); Chris Dick, (Advanced Systems Technology

More information

Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems

Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems Markus Myllylä University of Oulu, Centre for Wireless Communications markus.myllyla@ee.oulu.fi Outline Introduction

More information

The Case for Optimum Detection Algorithms in MIMO Wireless Systems. Helmut Bölcskei

The Case for Optimum Detection Algorithms in MIMO Wireless Systems. Helmut Bölcskei The Case for Optimum Detection Algorithms in MIMO Wireless Systems Helmut Bölcskei joint work with A. Burg, C. Studer, and M. Borgmann ETH Zurich Data rates in wireless double every 18 months throughput

More information

Iterative Soft Decision Based Complex K-best MIMO Decoder

Iterative Soft Decision Based Complex K-best MIMO Decoder Iterative Soft Decision Based Complex K-best MIMO Decoder Mehnaz Rahman Department of ECE Texas A&M University College Station, Tx- 77840, USA Gwan S. Choi Department of ECE Texas A&M University College

More information

FPGA Prototyping of A High Data Rate LTE Uplink Baseband Receiver

FPGA Prototyping of A High Data Rate LTE Uplink Baseband Receiver FPGA Prototyping of A High Data Rate LTE Uplink Baseband Receiver Guohui Wang, Bei Yin, Kiarash Amiri, Yang Sun, Michael Wu, Joseph R Cavallaro Department of Electrical and Computer Engineering Rice University,

More information

Discontinued IP. IEEE e CTC Decoder v4.0. Introduction. Features. Functional Description

Discontinued IP. IEEE e CTC Decoder v4.0. Introduction. Features. Functional Description DS634 December 2, 2009 Introduction The IEEE 802.16e CTC decoder core performs iterative decoding of channel data that has been encoded as described in Section 8.4.9.2.3 of the IEEE Std 802.16e-2005 specification

More information

A Flexible VLSI Architecture for Extracting Diversity and Spatial Multiplexing Gains in MIMO Channels

A Flexible VLSI Architecture for Extracting Diversity and Spatial Multiplexing Gains in MIMO Channels A Flexible VLSI Architecture for Extracting Diversity and Spatial Multiplexing Gains in MIMO Channels Chia-Hsiang Yang University of California, Los Angeles Challenges: 1. A unified solution to span the

More information

ASIC Implementation Comparison of SIC and LSD Receivers for MIMO-OFDM

ASIC Implementation Comparison of SIC and LSD Receivers for MIMO-OFDM ASIC Implementation Comparison of SIC and LSD Receivers for MIMO-OFDM Johanna Ketonen, Markus Myllylä and Markku Juntti Centre for Wireless Communications P.O. Box 4500, FIN-90014 University of Oulu, Finland

More information

A High Throughput Configurable SDR Detector for Multi-user MIMO Wireless Systems

A High Throughput Configurable SDR Detector for Multi-user MIMO Wireless Systems J Sign Process Syst (2011) 62:233 245 DOI 101007/s11265-009-0360-5 A High Throughput Configurable SDR Detector for Multi-user MIMO Wireless Systems Kiarash Amiri Joseph R Cavallaro Chris Dick Raghu Mysore

More information

K-Best Decoders for 5G+ Wireless Communication

K-Best Decoders for 5G+ Wireless Communication K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Gwan S. Choi K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Department of Electrical and Computer Engineering Texas A&M University

More information

REAL-TIME IMPLEMENTATION OF A SPHERE DECODER-BASED MIMO WIRELESS SYSTEM

REAL-TIME IMPLEMENTATION OF A SPHERE DECODER-BASED MIMO WIRELESS SYSTEM REAL-TIME IMPLEMENTATION OF A SPHERE DECODER-BASED MIMO WIRELESS SYSTEM Mikel Mendicute, Luis G. Barbero, Gorka Landaburu, John S. Thompson, Jon Altuna, and Vicente Atxa Communications and Digital Signal

More information

ABSTRACT. MIMO (Multi-Input Multi-Output) wireless systems have been widely used in nextgeneration

ABSTRACT. MIMO (Multi-Input Multi-Output) wireless systems have been widely used in nextgeneration ABSTRACT NARIMAN MOEZZI MADANI. Efficient Implementation of MIMO Detectors for Emerging Wireless Communication Standards. (Under the direction of Professor W. Rhett Davis). MIMO (Multi-Input Multi-Output)

More information

A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems

A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems Gangarajaiah, Rakesh; Liu, Liang; Stala, Michal; Nilsson, Peter; Edfors, Ove 013 Link to publication Citation for published

More information

3.2Gbps Channel-Adaptive Configurable MIMO Detector for Multi-Mode Wireless Communication

3.2Gbps Channel-Adaptive Configurable MIMO Detector for Multi-Mode Wireless Communication 3.2Gbps Channel-Adaptive Configurable MIMO Detector for Multi-Mode Wireless Communication Farhana Sheikh, Chia-Hsiang Chen, Dongmin Yoon, Borislav Alexandrov, Keith Bowman, * Anthony Chun, Hossein Alavi,

More information

Fixed-Point Aspects of MIMO OFDM Detection on SDR Platforms

Fixed-Point Aspects of MIMO OFDM Detection on SDR Platforms Fixed-Point Aspects of MIMO OFDM Detection on SDR Platforms Daniel Guenther Chair ISS Integrierte Systeme der Signalverarbeitung June 27th 2012 Institute for Communication Technologies and Embedded Systems

More information

Detector Implementations Based on Software Defined Radio for Next Generation Wireless Systems Janne Janhunen

Detector Implementations Based on Software Defined Radio for Next Generation Wireless Systems Janne Janhunen GIGA seminar 11.1.2010 Detector Implementations Based on Software Defined Radio for Next Generation Wireless Systems Janne Janhunen janne.janhunen@ee.oulu.fi 2 Outline Introduction Benefits and Challenges

More information

SIC AND K-BEST LSD RECEIVER IMPLEMENTATION FOR A MIMO-OFDM SYSTEM

SIC AND K-BEST LSD RECEIVER IMPLEMENTATION FOR A MIMO-OFDM SYSTEM AND K-BEST SD RECEIVER IMPEMENTATION FOR A MIMO-OFDM SYSTEM Johanna Ketonen and Markku Juntti Centre for Wireless Communications P.O. Box 500, FIN-900 University of Oulu, Finland {johanna.ketonen, markku.juntti}@ee.oulu.fi

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

Array Like Runtime Reconfigurable MIMO Detector for n WLAN:A design case study

Array Like Runtime Reconfigurable MIMO Detector for n WLAN:A design case study Array Like Runtime Reconfigurable MIMO Detector for 802.11n WLAN:A design case study Pankaj Bhagawat Rajballav Dash Gwan Choi Texas A&M University-CollegeStation Outline Background MIMO Detection as a

More information

Low-Complexity LDPC-coded Iterative MIMO Receiver Based on Belief Propagation algorithm for Detection

Low-Complexity LDPC-coded Iterative MIMO Receiver Based on Belief Propagation algorithm for Detection Low-Complexity LDPC-coded Iterative MIMO Receiver Based on Belief Propagation algorithm for Detection Ali Haroun, Charbel Abdel Nour, Matthieu Arzel and Christophe Jego Outline Introduction System description

More information

MULTIPLE-INPUT multiple-output (MIMO) systems

MULTIPLE-INPUT multiple-output (MIMO) systems 3360 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 58, NO. 6, JUNE 2010 Performance Complexity Comparison of Receivers for a LTE MIMO OFDM System Johanna Ketonen, Student Member, IEEE, Markku Juntti, Senior

More information

FPGA-Based Design and Implementation of a Multi-Gbps LDPC Decoder

FPGA-Based Design and Implementation of a Multi-Gbps LDPC Decoder FPGA-Based Design and Implementation of a Multi-Gbps LDPC Decoder Alexios Balatsoukas-Stimming and Apostolos Dollas Technical University of Crete Dept. of Electronic and Computer Engineering August 30,

More information

Mehnaz Rahman Gwan S. Choi. K-Best Decoders for 5G+ Wireless Communication

Mehnaz Rahman Gwan S. Choi. K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Gwan S. Choi K-Best Decoders for 5G+ Wireless Communication K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Gwan S. Choi K-Best Decoders for 5G+ Wireless Communication Mehnaz

More information

A low cost soft mapper for turbo equalization with high order modulation

A low cost soft mapper for turbo equalization with high order modulation University of Wollongong Research Online Faculty of Engineering and Information Sciences - Papers: Part A Faculty of Engineering and Information Sciences 2012 A low cost soft mapper for turbo equalization

More information

Realization of Peak Frequency Efficiency of 50 Bit/Second/Hz Using OFDM MIMO Multiplexing with MLD Based Signal Detection

Realization of Peak Frequency Efficiency of 50 Bit/Second/Hz Using OFDM MIMO Multiplexing with MLD Based Signal Detection Realization of Peak Frequency Efficiency of 50 Bit/Second/Hz Using OFDM MIMO Multiplexing with MLD Based Signal Detection Kenichi Higuchi (1) and Hidekazu Taoka (2) (1) Tokyo University of Science (2)

More information

IMPROVED QR AIDED DETECTION UNDER CHANNEL ESTIMATION ERROR CONDITION

IMPROVED QR AIDED DETECTION UNDER CHANNEL ESTIMATION ERROR CONDITION IMPROVED QR AIDED DETECTION UNDER CHANNEL ESTIMATION ERROR CONDITION Jigyasha Shrivastava, Sanjay Khadagade, and Sumit Gupta Department of Electronics and Communications Engineering, Oriental College of

More information

Comparative Study of the detection algorithms in MIMO

Comparative Study of the detection algorithms in MIMO Comparative Study of the detection algorithms in MIMO Ammu.I, Deepa.R. Department of Electronics and Communication, Amrita Vishwa Vidyapeedam,Ettimadai, Coimbatore, India. Abstract- Wireless communication

More information

VLSI IMPLEMENTATION OF LOW POWER RECONFIGURABLE MIMO DETECTOR. A Thesis RAJBALLAV DASH

VLSI IMPLEMENTATION OF LOW POWER RECONFIGURABLE MIMO DETECTOR. A Thesis RAJBALLAV DASH VLSI IMPLEMENTATION OF LOW POWER RECONFIGURABLE MIMO DETECTOR A Thesis by RAJBALLAV DASH Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for

More information

Using TCM Techniques to Decrease BER Without Bandwidth Compromise. Using TCM Techniques to Decrease BER Without Bandwidth Compromise. nutaq.

Using TCM Techniques to Decrease BER Without Bandwidth Compromise. Using TCM Techniques to Decrease BER Without Bandwidth Compromise. nutaq. Using TCM Techniques to Decrease BER Without Bandwidth Compromise 1 Using Trellis Coded Modulation Techniques to Decrease Bit Error Rate Without Bandwidth Compromise Written by Jean-Benoit Larouche INTRODUCTION

More information

IMPLEMENTATION TRADE-OFFS FOR LINEAR DETECTION IN LARGE-SCALE MIMO SYSTEMS

IMPLEMENTATION TRADE-OFFS FOR LINEAR DETECTION IN LARGE-SCALE MIMO SYSTEMS IMPLEMENTATION TRADE-OFFS FOR LINEAR DETECTION IN LARGE-SCALE MIMO SYSTEMS Bei Yin 1, Michael Wu 1, Christoph Studer 1, Joseph R. Cavallaro 1, and Chris Dick 2 1 Rice University, Houston, TX, USA; e-mail:

More information

Massively Parallel Signal Processing for Wireless Communication Systems

Massively Parallel Signal Processing for Wireless Communication Systems Massively Parallel Signal Processing for Wireless Communication Systems Michael Wu, Guohui Wang, Joseph R. Cavallaro Department of ECE, Rice University Wireless Communication Systems Internet Information

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

An FPGA 1Gbps Wireless Baseband MIMO Transceiver

An FPGA 1Gbps Wireless Baseband MIMO Transceiver An FPGA 1Gbps Wireless Baseband MIMO Transceiver Center the Authors Names Here [leave blank for review] Center the Affiliations Here [leave blank for review] Center the City, State, and Country Here (address

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Field Experiments of 2.5 Gbit/s High-Speed Packet Transmission Using MIMO OFDM Broadband Packet Radio Access

Field Experiments of 2.5 Gbit/s High-Speed Packet Transmission Using MIMO OFDM Broadband Packet Radio Access NTT DoCoMo Technical Journal Vol. 8 No.1 Field Experiments of 2.5 Gbit/s High-Speed Packet Transmission Using MIMO OFDM Broadband Packet Radio Access Kenichi Higuchi and Hidekazu Taoka A maximum throughput

More information

A GPU Implementation for two MIMO OFDM Detectors

A GPU Implementation for two MIMO OFDM Detectors A GPU Implementation for two MIMO OFDM Detectors Teemu Nyländen, Janne Janhunen, Olli Silvén, Markku Juntti Computer Science and Engineering Laboratory Centre for Wireless Communications University of

More information

Reduced Complexity of QRD-M Detection Scheme in MIMO-OFDM Systems

Reduced Complexity of QRD-M Detection Scheme in MIMO-OFDM Systems Advanced Science and echnology Letters Vol. (ASP 06), pp.4- http://dx.doi.org/0.457/astl.06..4 Reduced Complexity of QRD-M Detection Scheme in MIMO-OFDM Systems Jong-Kwang Kim, Jae-yun Ro and young-kyu

More information

Managing dynamic reconfiguration on MIMO Decoder

Managing dynamic reconfiguration on MIMO Decoder Managing dynamic reconfiguration on MIMO Decoder Hongzhi Wang, Jean-Philippe Delahaye, Pierre Leray and Jacques Palicot IETR/Supelec Campus de Rennes Av. de la Boulais, CS 47601 35576 CESSON-SEVIGNE, France

More information

Research Article Application-Specific Instruction Set Processor Implementation of List Sphere Detector

Research Article Application-Specific Instruction Set Processor Implementation of List Sphere Detector Hindawi Publishing Corporation EURASIP Journal on Embedded Systems Volume 2007, Article ID 54173, 14 pages doi:10.1155/2007/54173 Research Article Application-Specific Instruction Set Processor Implementation

More information

SELECTIVE SPANNING WITH FAST ENUMERATION DETECTOR IMPLEMENTATION REACHING LTE REQUIREMENTS

SELECTIVE SPANNING WITH FAST ENUMERATION DETECTOR IMPLEMENTATION REACHING LTE REQUIREMENTS 18th European Signal Processing Conference (EUSIPCO-2010) Aalborg, Denmark, August 23-27, 2010 SELECTIVE SPANNING WITH FAST ENUMERATION DETECTOR IMPLEMENTATION REACHING LTE REQUIREMENTS Jarmo Niskanen,

More information

Layered Space-Time Codes

Layered Space-Time Codes 6 Layered Space-Time Codes 6.1 Introduction Space-time trellis codes have a potential drawback that the maximum likelihood decoder complexity grows exponentially with the number of bits per symbol, thus

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

Iterative Decoding for MIMO Channels via. Modified Sphere Decoding

Iterative Decoding for MIMO Channels via. Modified Sphere Decoding Iterative Decoding for MIMO Channels via Modified Sphere Decoding H. Vikalo, B. Hassibi, and T. Kailath Abstract In recent years, soft iterative decoding techniques have been shown to greatly improve the

More information

Configurable Joint Detection Algorithm for MIMO Wireless Communication System

Configurable Joint Detection Algorithm for MIMO Wireless Communication System Configurable Joint Detection Algorithm for MIMO Wireless Communication System 1 S.Divyabarathi, 2 N.R.Sivaraaj, 3 G.Kanagaraj 1 PG Scholar, Department of VLSI, AVS Engineering College, Salem, Tamilnadu,

More information

1318 IEEE JOURNAL ON SELECTED AREAS IN COMMUNICATIONS, VOL. 27, NO. 8, OCTOBER 2009

1318 IEEE JOURNAL ON SELECTED AREAS IN COMMUNICATIONS, VOL. 27, NO. 8, OCTOBER 2009 38 IEEE JOURNAL ON SELECTED AREAS IN COMMUNICATIONS, VOL. 7, NO. 8, OCTOBER 9 Probabilistically Bounded Soft Sphere Detection for MIMO-OFDM Receivers: Algorithm and System Architecture Predrag Radosavljevic,

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

Implementation of Space Time Block Codes for Wimax Applications

Implementation of Space Time Block Codes for Wimax Applications Implementation of Space Time Block Codes for Wimax Applications M Ravi 1, A Madhusudhan 2 1 M.Tech Student, CVSR College of Engineering Department of Electronics and Communication Engineering Hyderabad,

More information

Implementation of MIMO Encoding & Decoding in a Wireless Receiver

Implementation of MIMO Encoding & Decoding in a Wireless Receiver Implementation of MIMO Encoding & Decoding in a Wireless Receiver Pravin W. Raut Research Scholar, Sr. Lecturer Shri Datta Meghe Polytechnic Nagpur Hingna Road, Nagpur S.L.Badjate Vice Principal & Professor

More information

Digital Television Lecture 5

Digital Television Lecture 5 Digital Television Lecture 5 Forward Error Correction (FEC) Åbo Akademi University Domkyrkotorget 5 Åbo 8.4. Error Correction in Transmissions Need for error correction in transmissions Loss of data during

More information

Algorithm and hardware design of a 2D sorter-based K-best MIMO decoder

Algorithm and hardware design of a 2D sorter-based K-best MIMO decoder Tran et al. EURASIP Journal on Wireless Communications and Networking 2014, 2014:93 RESEARCH Algorithm and hardware design of a 2D sorter-based K-best MIMO decoder Thi Hong Tran 1*, Yuhei Nagao 2 and Hiroshi

More information

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education Socware, Pacwoman & Flexible Radio Peter Nilsson Program Manager Socware Research & Education Associate Professor Digital ASIC Group Department of Electroscience Lund University Socware: System-on-Chip

More information

Embedded Orthogonal Space-Time Codes for High Rate and Low Decoding Complexity

Embedded Orthogonal Space-Time Codes for High Rate and Low Decoding Complexity Embedded Orthogonal Space-Time Codes for High Rate and Low Decoding Complexity Mohanned O. Sinnokrot, John R. Barry and Vijay K. Madisetti eorgia Institute of Technology, Atlanta, A 3033 USA, {sinnokrot,

More information

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. FPGA Implementation Platform for MIMO- Based on UART 1 Sherif Moussa,, 2 Ahmed M.Abdel Razik, 3 Adel Omar Dahmane, 4 Habib Hamam 1,3 Elec and Comp. Eng. Department, Université du Québec à Trois-Rivières,

More information

Interference Mitigation in MIMO Interference Channel via Successive Single-User Soft Decoding

Interference Mitigation in MIMO Interference Channel via Successive Single-User Soft Decoding Interference Mitigation in MIMO Interference Channel via Successive Single-User Soft Decoding Jungwon Lee, Hyukjoon Kwon, Inyup Kang Mobile Solutions Lab, Samsung US R&D Center 491 Directors Pl, San Diego,

More information

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Bharti Gondhalekar, Rajesh Bansode, Geeta Karande, Devashree Patil Abstract OFDM offers high spectral efficiency and resilience to multipath

More information

NOWADAYS, many Digital Signal Processing (DSP) applications,

NOWADAYS, many Digital Signal Processing (DSP) applications, 1 HUB-Floating-Point for improving FPGA implementations of DSP Applications Javier Hormigo, and Julio Villalba, Member, IEEE Abstract The increasing complexity of new digital signalprocessing applications

More information

1. Introduction. Noriyuki Maeda, Hiroyuki Kawai, Junichiro Kawamoto and Kenichi Higuchi

1. Introduction. Noriyuki Maeda, Hiroyuki Kawai, Junichiro Kawamoto and Kenichi Higuchi NTT DoCoMo Technical Journal Vol. 7 No.2 Special Articles on 1-Gbit/s Packet Signal Transmission Experiments toward Broadband Packet Radio Access Configuration and Performances of Implemented Experimental

More information

A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors

A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors K.Keerthana 1, G.Jyoshna 2 M.Tech Scholar, Dept of ECE, Sri Krishnadevaraya University College of, AP, India 1 Lecturer, Dept of ECE, Sri

More information

Coding for MIMO Communication Systems

Coding for MIMO Communication Systems Coding for MIMO Communication Systems Tolga M. Duman Arizona State University, USA Ali Ghrayeb Concordia University, Canada BICINTINNIAL BICENTENNIAL John Wiley & Sons, Ltd Contents About the Authors Preface

More information

An Improved Detection Technique For Receiver Oriented MIMO-OFDM Systems

An Improved Detection Technique For Receiver Oriented MIMO-OFDM Systems 9th International OFDM-Workshop 2004, Dresden 1 An Improved Detection Technique For Receiver Oriented MIMO-OFDM Systems Hrishikesh Venkataraman 1), Clemens Michalke 2), V.Sinha 1), and G.Fettweis 2) 1)

More information

Advanced channel coding : a good basis. Alexandre Giulietti, on behalf of the team

Advanced channel coding : a good basis. Alexandre Giulietti, on behalf of the team Advanced channel coding : a good basis Alexandre Giulietti, on behalf of the T@MPO team Errors in transmission are fowardly corrected using channel coding e.g. MPEG4 e.g. Turbo coding e.g. QAM source coding

More information

DESIGN, IMPLEMENTATION AND OPTIMISATION OF 4X4 MIMO-OFDM TRANSMITTER FOR

DESIGN, IMPLEMENTATION AND OPTIMISATION OF 4X4 MIMO-OFDM TRANSMITTER FOR DESIGN, IMPLEMENTATION AND OPTIMISATION OF 4X4 MIMO-OFDM TRANSMITTER FOR COMMUNICATION SYSTEMS Abstract M. Chethan Kumar, *Sanket Dessai Department of Computer Engineering, M.S. Ramaiah School of Advanced

More information

Supplemental Slides: MIMO Testbed Development at the MPRG Lab

Supplemental Slides: MIMO Testbed Development at the MPRG Lab Supplemental Slides: MIMO Testbed Development at the MPRG Lab Raqibul Mostafa Jeffrey H. Reed Slide 1 Overview Space Time Coding (STC) Overview Virginia Tech Space Time Adaptive Radio (VT-STAR) description:

More information

Hardware implementation of Zero-force Precoded MIMO OFDM system to reduce BER

Hardware implementation of Zero-force Precoded MIMO OFDM system to reduce BER Hardware implementation of Zero-force Precoded MIMO OFDM system to reduce BER Deepak Kumar S Nadiger 1, Meena Priya Dharshini 2 P.G. Student, Department of Electronics & communication Engineering, CMRIT

More information

Improved concatenated (RS-CC) for OFDM systems

Improved concatenated (RS-CC) for OFDM systems Improved concatenated (RS-CC) for OFDM systems Mustafa Dh. Hassib 1a), JS Mandeep 1b), Mardina Abdullah 1c), Mahamod Ismail 1d), Rosdiadee Nordin 1e), and MT Islam 2f) 1 Department of Electrical, Electronics,

More information

Design of 2 4 Alamouti Transceiver Using FPGA

Design of 2 4 Alamouti Transceiver Using FPGA Design of 2 4 Alamouti Transceiver Using FPGA Khalid Awaad Humood Electronic Dept. College of Engineering, Diyala University Baquba, Diyala, Iraq Saad Mohammed Saleh Computer and Software Dept. College

More information

6. FUNDAMENTALS OF CHANNEL CODER

6. FUNDAMENTALS OF CHANNEL CODER 82 6. FUNDAMENTALS OF CHANNEL CODER 6.1 INTRODUCTION The digital information can be transmitted over the channel using different signaling schemes. The type of the signal scheme chosen mainly depends on

More information

Reduced-Complexity VLSI Architectures for Binary and Nonbinary LDPC Codes

Reduced-Complexity VLSI Architectures for Binary and Nonbinary LDPC Codes Reduced-Complexity VLSI Architectures for Binary and Nonbinary LDPC Codes A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Sangmin Kim IN PARTIAL FULFILLMENT

More information

Embedded Alamouti Space-Time Codes for High Rate and Low Decoding Complexity

Embedded Alamouti Space-Time Codes for High Rate and Low Decoding Complexity Embedded Alamouti Space-Time Codes for High Rate and Low Decoding Complexity Mohanned O. Sinnokrot, John R. Barry and Vijay K. Madisetti Georgia Institute of Technology, Atlanta, GA 30332 USA, {mohanned.sinnokrot@,

More information

BER Performance Analysis and Comparison for Large Scale MIMO Receiver

BER Performance Analysis and Comparison for Large Scale MIMO Receiver Indian Journal of Science and Technology, Vol 8(35), DOI: 10.17485/ijst/2015/v8i35/81073, December 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 BER Performance Analysis and Comparison for Large

More information

#8 Adaptive Modulation Coding

#8 Adaptive Modulation Coding 06 Q Wireless Communication Engineering #8 Adaptive Modulation Coding Kei Sakaguchi sakaguchi@mobile.ee. July 5, 06 Course Schedule () Date Text Contents #7 July 5 4.6 Error correction coding #8 July 5

More information

Vector-LDPC Codes for Mobile Broadband Communications

Vector-LDPC Codes for Mobile Broadband Communications Vector-LDPC Codes for Mobile Broadband Communications Whitepaper November 23 Flarion Technologies, Inc. Bedminster One 35 Route 22/26 South Bedminster, NJ 792 Tel: + 98-947-7 Fax: + 98-947-25 www.flarion.com

More information

ABSTRACT. Parallel VLSI Architectures for Multi-Gbps MIMO Communication Systems. Yang Sun

ABSTRACT. Parallel VLSI Architectures for Multi-Gbps MIMO Communication Systems. Yang Sun ABSTRACT Parallel VLSI Architectures for Multi-Gbps MIMO Communication Systems by Yang Sun In wireless communications, the use of multiple antennas at both the transmitter and the receiver is a key technology

More information

Performance Evaluation of MIMO Spatial Multiplexing Detection Techniques

Performance Evaluation of MIMO Spatial Multiplexing Detection Techniques Journal of Al Azhar University-Gaza (Natural Sciences), 01, 14 : 47-60 Performance Evaluation of MIMO Spatial Multiplexing Detection Techniques Auda Elshokry, Ammar Abu-Hudrouss 1-aelshokry@gmail.com -ahdrouss@iugaza.edu.ps

More information

4x4 Time-Domain MIMO encoder with OFDM Scheme in WIMAX Context

4x4 Time-Domain MIMO encoder with OFDM Scheme in WIMAX Context 4x4 Time-Domain MIMO encoder with OFDM Scheme in WIMAX Context Mohamed.Messaoudi 1, Majdi.Benzarti 2, Salem.Hasnaoui 3 Al-Manar University, SYSCOM Laboratory / ENIT, Tunisia 1 messaoudi.jmohamed@gmail.com,

More information

STUDY OF THE PERFORMANCE OF THE LINEAR AND NON-LINEAR NARROW BAND RECEIVERS FOR 2X2 MIMO SYSTEMS WITH STBC MULTIPLEXING AND ALAMOTI CODING

STUDY OF THE PERFORMANCE OF THE LINEAR AND NON-LINEAR NARROW BAND RECEIVERS FOR 2X2 MIMO SYSTEMS WITH STBC MULTIPLEXING AND ALAMOTI CODING International Journal of Electrical and Electronics Engineering Research Vol.1, Issue 1 (2011) 68-83 TJPRC Pvt. Ltd., STUDY OF THE PERFORMANCE OF THE LINEAR AND NON-LINEAR NARROW BAND RECEIVERS FOR 2X2

More information

Reduced Complexity by Incorporating Sphere Decoder with MIMO STBC HARQ Systems

Reduced Complexity by Incorporating Sphere Decoder with MIMO STBC HARQ Systems I J C T A, 9(34) 2016, pp. 417-421 International Science Press Reduced Complexity by Incorporating Sphere Decoder with MIMO STBC HARQ Systems B. Priyalakshmi #1 and S. Murugaveni #2 ABSTRACT The objective

More information

EE 435/535: Error Correcting Codes Project 1, Fall 2009: Extended Hamming Code. 1 Introduction. 2 Extended Hamming Code: Encoding. 1.

EE 435/535: Error Correcting Codes Project 1, Fall 2009: Extended Hamming Code. 1 Introduction. 2 Extended Hamming Code: Encoding. 1. EE 435/535: Error Correcting Codes Project 1, Fall 2009: Extended Hamming Code Project #1 is due on Tuesday, October 6, 2009, in class. You may turn the project report in early. Late projects are accepted

More information

Sphere Decoding in Multi-user Multiple Input Multiple Output with reduced complexity

Sphere Decoding in Multi-user Multiple Input Multiple Output with reduced complexity Sphere Decoding in Multi-user Multiple Input Multiple Output with reduced complexity Er. Navjot Singh 1, Er. Vinod Kumar 2 Research Scholar, CSE Department, GKU, Talwandi Sabo, Bathinda, India 1 AP, CSE

More information

Outline. Communications Engineering 1

Outline. Communications Engineering 1 Outline Introduction Signal, random variable, random process and spectra Analog modulation Analog to digital conversion Digital transmission through baseband channels Signal space representation Optimal

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

Performance Analysis of the D-STTD Communication System with AMC Scheme

Performance Analysis of the D-STTD Communication System with AMC Scheme , 2009, 5, 325-329 doi:10.4236/ijcns.2009.25035 Published Online August 2009 (http://www.scirp.org/journal/ijcns/). Performance Analysis of the D-STTD Communication System with AMC Scheme Jeonghwan LEE

More information

An Alamouti-based Hybrid-ARQ Scheme for MIMO Systems

An Alamouti-based Hybrid-ARQ Scheme for MIMO Systems An Alamouti-based Hybrid-ARQ Scheme MIMO Systems Kodzovi Acolatse Center Communication and Signal Processing Research Department, New Jersey Institute of Technology University Heights, Newark, NJ 07102

More information

A Sphere Decoding Algorithm for MIMO

A Sphere Decoding Algorithm for MIMO A Sphere Decoding Algorithm for MIMO Jay D Thakar Electronics and Communication Dr. S & S.S Gandhy Government Engg College Surat, INDIA ---------------------------------------------------------------------***-------------------------------------------------------------------

More information

HARDWARE-EFFICIENT IMPLEMENTATION OF THE SOVA FOR SOQPSK-TG

HARDWARE-EFFICIENT IMPLEMENTATION OF THE SOVA FOR SOQPSK-TG HARDWARE-EFFICIENT IMPLEMENTATION OF THE SOVA FOR SOQPSK-TG Ehsan Hosseini, Gino Rea Department of Electrical Engineering & Computer Science University of Kansas Lawrence, KS 66045 ehsan@ku.edu Faculty

More information

Multilevel RS/Convolutional Concatenated Coded QAM for Hybrid IBOC-AM Broadcasting

Multilevel RS/Convolutional Concatenated Coded QAM for Hybrid IBOC-AM Broadcasting IEEE TRANSACTIONS ON BROADCASTING, VOL. 46, NO. 1, MARCH 2000 49 Multilevel RS/Convolutional Concatenated Coded QAM for Hybrid IBOC-AM Broadcasting Sae-Young Chung and Hui-Ling Lou Abstract Bandwidth efficient

More information

Performance comparison of convolutional and block turbo codes

Performance comparison of convolutional and block turbo codes Performance comparison of convolutional and block turbo codes K. Ramasamy 1a), Mohammad Umar Siddiqi 2, Mohamad Yusoff Alias 1, and A. Arunagiri 1 1 Faculty of Engineering, Multimedia University, 63100,

More information

MIMO CONFIGURATION SCHEME WITH SPATIAL MULTIPLEXING AND QPSK MODULATION

MIMO CONFIGURATION SCHEME WITH SPATIAL MULTIPLEXING AND QPSK MODULATION MIMO CONFIGURATION SCHEME WITH SPATIAL MULTIPLEXING AND QPSK MODULATION Yasir Bilal 1, Asif Tyagi 2, Javed Ashraf 3 1 Research Scholar, 2 Assistant Professor, 3 Associate Professor, Department of Electronics

More information

Reception for Layered STBC Architecture in WLAN Scenario

Reception for Layered STBC Architecture in WLAN Scenario Reception for Layered STBC Architecture in WLAN Scenario Piotr Remlein Chair of Wireless Communications Poznan University of Technology Poznan, Poland e-mail: remlein@et.put.poznan.pl Hubert Felcyn Chair

More information

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

Hardware Implementation of BCH Error-Correcting Codes on a FPGA Hardware Implementation of BCH Error-Correcting Codes on a FPGA Laurenţiu Mihai Ionescu Constantin Anton Ion Tutănescu University of Piteşti University of Piteşti University of Piteşti Alin Mazăre University

More information

Low Power Efficient MIMO-OFDM Design for n WLAN System

Low Power Efficient MIMO-OFDM Design for n WLAN System Low Power Efficient MIMO-OFDM Design for 802.11n WLAN System L.P. Thakare Research Scholar, Department of Electronics Engineering, G.H.Raisoni College of Engineering, Nagpur Dr.Amol.Y.Deshmukh Professor,

More information

Near Optimal Combining Scheme for MIMO-OFDM HARQ with Bit Rearrangement

Near Optimal Combining Scheme for MIMO-OFDM HARQ with Bit Rearrangement Near Optimal Combining Scheme for MIMO-OFDM HARQ with Bit Rearrangement Rong-Hui Peng and Rong-Rong Chen Dept. of Electrical and Computer Engineering University of Utah, Salt Lake City, UT 84112 Email:

More information

Performance Analysis of n Wireless LAN Physical Layer

Performance Analysis of n Wireless LAN Physical Layer 120 1 Performance Analysis of 802.11n Wireless LAN Physical Layer Amr M. Otefa, Namat M. ElBoghdadly, and Essam A. Sourour Abstract In the last few years, we have seen an explosive growth of wireless LAN

More information

Performance Evaluation of V-Blast Mimo System in Fading Diversity Using Matched Filter

Performance Evaluation of V-Blast Mimo System in Fading Diversity Using Matched Filter Performance Evaluation of V-Blast Mimo System in Fading Diversity Using Matched Filter Priya Sharma 1, Prof. Vijay Prakash Singh 2 1 Deptt. of EC, B.E.R.I, BHOPAL 2 HOD, Deptt. of EC, B.E.R.I, BHOPAL Abstract--

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

LDPC Decoding: VLSI Architectures and Implementations

LDPC Decoding: VLSI Architectures and Implementations LDPC Decoding: VLSI Architectures and Implementations Module : LDPC Decoding Ned Varnica varnica@gmail.com Marvell Semiconductor Inc Overview Error Correction Codes (ECC) Intro to Low-density parity-check

More information

II. FRAME STRUCTURE In this section, we present the downlink frame structure of 3GPP LTE and WiMAX standards. Here, we consider

II. FRAME STRUCTURE In this section, we present the downlink frame structure of 3GPP LTE and WiMAX standards. Here, we consider Forward Error Correction Decoding for WiMAX and 3GPP LTE Modems Seok-Jun Lee, Manish Goel, Yuming Zhu, Jing-Fei Ren, and Yang Sun DSPS R&D Center, Texas Instruments ECE Depart., Rice University {seokjun,

More information

Project. Title. Submitted Sources: {se.park,

Project. Title. Submitted Sources:   {se.park, Project Title Date Submitted Sources: Re: Abstract Purpose Notice Release Patent Policy IEEE 802.20 Working Group on Mobile Broadband Wireless Access LDPC Code

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information