Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Similar documents
Ruixing Yang

POWER GATING. Power-gating parameters

Improved DFT for Testing Power Switches

A Survey of the Low Power Design Techniques at the Circuit Level

Low Power Design Methods: Design Flows and Kits

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

A Novel Low-Power Scan Design Technique Using Supply Gating

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

Low Power Techniques for SoC Design: basic concepts and techniques

Advanced Techniques for Using ARM's Power Management Kit

NanoFabrics: : Spatial Computing Using Molecular Electronics

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Reduction Using Power Gated Sleep Method

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

The challenges of low power design Karen Yorav

EC 1354-Principles of VLSI Design

Very Large Scale Integration (VLSI)

Contents 1 Introduction 2 MOS Fabrication Technology

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Power Gating of the FlexCore Processor. Master of Science Thesis in Integrated Electronic System Design. Vineeth Saseendran Donatas Siaudinis

CHAPTER 3 NEW SLEEPY- PASS GATE

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

UNIT-II LOW POWER VLSI DESIGN APPROACHES

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

Implementation of dual stack technique for reducing leakage and dynamic power

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Optimization of power in different circuits using MTCMOS Technique

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Aggressive Leakage Management in ARM Based Systems

MTCMOS Post-Mask Performance Enhancement

Leakage Current Analysis

CONTROLLING STATIC POWER LEAKAGE IN 7T SRAM CELL USING POWER GATING TECHNIQUE

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Just-In-Time Power Gating of GasP Circuits

Low Power Design of Successive Approximation Registers

Power And Area Optimization of Pulse Latch Shift Register

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

Design and Application of Multimodal Power Gating Structures

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Low-Power Digital CMOS Design: A Survey

Lecture 11: Clocking

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2

Engr354: Digital Logic Circuits

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Ultra Low Power VLSI Design: A Review

Mixed-Signal-Electronics

Energy-Recovery CMOS Design

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder

LOW LEAKAGE CNTFET FULL ADDERS

Electronic Circuits EE359A

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

CMOS VLSI Design (A3425)

STANDARD CELL LIBRARIES FOR ALWAYS-ON POWER DOMAIN

Lecture Perspectives. Administrivia

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

Code No: R Set No. 1

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

BICMOS Technology and Fabrication

LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING

Memory (Part 1) RAM memory

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Digital Systems Design

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

A Dual-V DD Low Power FPGA Architecture

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

LSI Design Flow Development for Advanced Technology

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Transcription:

1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner

2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating Strategies and Structures Fine-Grain Power Gating Coarse-Grain Power Gating Power Gating Cells

3 Standard Cell Libraries Standard cell libraries may be optimized for Performance Power consumption Required chip area Choice and mix of used libraries therefore has significant impact on power, timing and area

4...Standard Cell Libraries Key characteristic of cell libraries is cell height, measured in tracks (1 track = pitch of a Metal one wire) Tall track height libraries: 11-12 tracks Optimized for performance, high leakage power Low track height libraries: 7 8 tracks Optimized for area efficiency Standard track height libraries 9 10 tracks Designed to give trade-off between performance and area efficiency Used in majority of designs

5...Standard Cell Libraries Transistors with different threshold voltage can be used for building a library High threshold voltage: Lowest leakage power, lower performance Low threshold voltage: High performance, higher leakage power Regular threshold voltage: Between high and low threshold voltage libraries

6 Modeling of Standard Cell Libraries Library level provides abstract views of underlying transistor level models Commercially sensitive internals are hidden Detailed physical views of cells are switched in for manufacturing Required abstract views: Timing models Physical models Functional models Power models Test models

7 Isolation Cells Implemented either in powered down domain (output isolation) or active power domain (input isolation) 3 types of isolation circuits: Clamp signal to 0 Clamp signal to 1 Clamp signal to last value

8...Isolation Cells Clamping signal to 0 uses NAND gate and inverter with active low isolation control signal: Similar clamping to 1 by using NOR gate and inverter and active high control signal:

9...Isolation Cells Clamp to last value: use retention latch to retain state of output signal. Multiplexer switches between logic cell output and value of retention latch

10 Output vs. Input Isolation Advantages of output isolation: Only one isolation cell required for output signal going to different power domains Isolation cells of one domain share a single control signal Drawback: Custom isolation cells required, since connection to an always-on power supply must be provided

11 Recommendations Output isolation should be preferred over input isolation If custom isolation cells are not available, standard cells may be used when placed in an always-on area created for this purpose Insert isolation cells only when necessary since they introduce delay penalty

12 Level Shifters Level down shifter realized through simple inverter or buffer: Level up shifter requires special amplifier circuit:

13...Level Shifters Level up shifter can also be extended to provide shift and isolation functionality together:

14 Memories Usually generated by memory compilers, but for special cases optimized memories may be built as well Different memory architectures: Single or multi ported RAM RAM array or register file Performance-optimized Area-optimized Power-optimized Like for logic cells, high (for decreased leakage) or low (increased performance) threshold voltage transistors can be used for implementation

15...Memories In multi-voltage designs, up shifting of RAM inputs and down shifting of RAM outputs is often required because: Some logic blocks are run at lower voltage to save power, but...... below 90nm RAMs typically have no voltage headroom and must be run at full voltage To support power gating, RAM inputs must be clamped to retain the RAM contents correctly Example of a multi-voltage RAM interface (level shifters and isolation are part of the RAM):

16 Power Gating Strategies and Structures 2 common approaches for power gating: Multi-Threshold CMOS (MTCMOS) Use high threshold voltage switches to turn off power (considered in the following) Multi-Voltage CMOS (MVCMOS) Use low threshold voltage switches to turn off power Complex to support Rarely used in commercial designs

17 Fine-Grain Power Gating A sleep transistor is inserted into every standard cell (called Multi-Threshold CMOS (MTCMOS)) Power switch has to be very large to minimize influence on performance of the cell When mixing power gated and always-on cells, pull-down / up transistor is required to clamp cell output

18...Fine-Grain Power Gating Advantages: Not sensitive to ground noise injection Small wake up latency Built-in clamp transistors eliminate all crowbar currents MTCMOS library cells can be modelled in same way as standard cell libraries, thus conventional ASIC tools can be used for synthesizing and analysing Drawbacks: Significant area penalty: due to sleep transistors, cell can be up to 3 times as big Requires specially designed MTCMOS library Needs significant routing and buffering resources to distribute sleep control signal to all cells

19 Coarse-Grain Power Gating Sleep transistors are connected in parallel between permanent power and virtual power network:

20...Coarse-Grain Power Gating Advantages: Significantly smaller area overhead than fine-grain power gating Less sensitive to process, voltage and temperature variation in the sleep transistors than in fine-grain power gating Can utilize existing standard cells, only few special cells need to be added (sleep transistors, isolation cells, retention registers) Drawbacks: Requires complex power network, power network synthesis becomes challenging Requires in-rush current control on wake-up to prevent power supply noise Longer wake-up latency due to charging up the virtual power network Imposes special constraints in logic and physical synthesis

21 Power Gating Cells A library should provide header and footer switches in different sizes and strengths to allow different switch network designs Physically, a switch cell consists of several parallel switch transistors:

22 References All images are taken from: M. Keating, et al., Low Power Methodology Manual for System-on-Chip Design, Springer, 2007