International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

Similar documents
CHARGE-RECOVERY circuitry has the potential to reduce

VOLTAGE scaling is one of the most effective methods for

Adiabatic Logic Circuits for Low Power, High Speed Applications

POWER minimization has become a primary concern in

Boost Logic : A High Speed Energy Recovery Circuit Family

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER

REPORT DOCUMENTATION PAGE

Performance Evaluation of Digital CMOS Circuits Using Complementary Pass Transistor Network

A Three-Port Adiabatic Register File Suitable for Embedded Applications

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Low-Power 4 4-Bit Array Two-Phase Clocked Adiabatic Static CMOS Logic Multiplier

Design and Implementation of Complex Multiplier Using Compressors

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

Towards An Efficient Low Frequency Energy Recovery Dynamic Logic

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

An energy efficient full adder cell for low voltage

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Performance Analysis of Different Adiabatic Logic Families

Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Static Energy Recovery Adiabatic Logic

ISSN:

Power Efficient adder Cell For Low Power Bio MedicalDevices

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

Retractile Clock-Powered Logic

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A design of 16-bit adiabatic Microprocessor core

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

Design of Multiplier using Low Power CMOS Technology

Low Power Adiabatic Logic Design

True Single-Phase Adiabatic Circuitry

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

Implementation of Carry Select Adder using CMOS Full Adder

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Energy-Recovery CMOS Design

Power-Area trade-off for Different CMOS Design Technologies

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

Energy Recovery for the Design of High-Speed, Low-Power Static RAMs

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Design of Multiplier Using CMOS Technology

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES

SCALING power supply has become popular in lowpower

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar**

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

UNIT-II LOW POWER VLSI DESIGN APPROACHES

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Fast, Efficient, Recovering, and Irreversible

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

P high-performance and portable applications. Methods for

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier

A Literature Survey on Low PDP Adder Circuits

Design and Analysis of Low-Power 11- Transistor Full Adder

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design and Analysis of Energy Recovery Logic for Low Power Circuit Design

International Journal of Advance Engineering and Research Development

High Performance Low-Power Signed Multiplier

Domino Static Gates Final Design Report

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

Implementation of High Performance Carry Save Adder Using Domino Logic

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

A new 6-T multiplexer based full-adder for low power and leakage current optimization

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002.

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure Design

II. Previous Work. III. New 8T Adder Design

STATIC cmos circuits are used for the vast majority of logic

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

AC-1: A Clock-Powered Microprocessor

Power Optimized Dadda Multiplier Using Two-Phase Clocking Sub-threshold Adiabatic Logic

ISSN Vol.04, Issue.05, May-2016, Pages:

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

Design of Low Power Column bypass Multiplier using FPGA

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

Low-Power Digital CMOS Design: A Survey

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Cascadable adiabatic logic circuits for low-power applications N.S.S. Reddy 1 M. Satyam 2 K.L. Kishore 3

Low Power Design for Systems on a Chip. Tutorial Outline

Transcription:

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy 2, K.Duraiswamy 3 PG student 1 Professor 2,Dean 3 Suryakbe90@gmail.com 1 kcsimayan @ yahoo.com 2 Vivekananda college of engineering for women, 1,2 KSRCT 3 ABSTRACT- Infinite Impulse Response (IIR) test-chip that has been designed using a novel chargerecovery logic family, called Enhanced Boost Logic (EBL), to achieve high-speed and low-power operation. EBL achieves increased gate overdrive, resulting in low latency overhead over static CMOS design. The test-chip has been fabricated in a 0.13 m CMOS process with a fully- integrated 3 nh inductor. The biquad filter has the 2nd order filter design in the poles of 2. Optimized for performance and density, fully Paramerized consists of the frequency range is 365 600 MHz. It provides the resonant frequency range is 450 MHz, the test-chip dissipates 40.1 mw with a power 90.6 nw /MHz/Tap/In Bit/Coeff Bit.. Index terms; Digital Signal Processing (DSP), low power VLSI, Infinite Impulse Response(IIR),finite impulse response(fir). 1. INTRODUCTION. recovering the charge supplied to it every clock cycle [1]. Demands for low power electronics have It introduces the Enhanced Boost Logic motivated designers to explore new approaches to (EBL), an improved version of the basic Boost Logic VLSI circuits. The classical approaches of reducing that achieves shorter pipeline latencies while energy dissipation in conventional CMOS circuits retaining its energy advantages over static CMOS. included reducing the supply voltages, node Similar to Boost Logic, EBL is capable of operation capacitance, and Switching frequencies Energyrecovery circuitry, on the other hand, is a new at high clock frequencies by developing a nearthreshold voltage before the onset of the power promising approach to the design of VLSI circuits clock. Evaluation devices in EBL have twice the gate with very low energy dissipation. overdrive compared to first-generation Boost Logic Charge-recovery circuitry has potential [2], [3]. reduce dynamic power consumption in digital systems with Significant switching activity. To keep The biquad has a necessary coefficient energy consumption to a minimum, charge-recovery scaling factor when one or more of the coefficients circuitry is typically designed so that it maintains are greater than 1.0. This filter has a 16-bit input, 16 low voltage drops across device channels, while bit output, and 16 bit coefficients. This code lets the ADSP-2100 Family DSP perform a Nth-order IIR

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2191 filter by performing N/2 biquads. The execution time is [8*(N/2) + 10] instruction cycles. The DSP can perform a tenth-order IIR filter on a signal sampled at more than 300 k Sa/s. The latency of this EBL-based IIR is only 1.5 cycles longer than that of a similar-performance static CMOS design that has been implemented separately. Fabricated in a 0.13-µ m CMOS process, the test-chip includes a fully-integrated 3 nh inductor. significantly greater than VCC. The bulk of all NMOS transistors are connected to ground, and the bulk of PMOS transistors in the cross-coupled inverters are connected to the corresponding powerclock phases. Since the Evaluation stage inputs follow clock phase pc to full VDD, the performance of the NMOS precharge device is relatively immune to the Vth drop thanks to the increased gate overdrive. The Chapter II describes about enhanced boost logic with FIR filter. The Chapter III describes the test chip overview. The chapter IV is describes the EBL design methodology. The chapter V describes simulation result and discussion. The Chapter VI describes the conclusion. The chapter VII describes the references. 2. ENHANCED BOOST LOGIC. Powered by the aggressively-scaled voltage, the Logic stage drives the dual-rail outputs conventionally with sub threshold-level energy consumption the first half of each clock cycle. Subsequently, during the second half of each cycle, the Boost stage amplifies the near-threshold voltage between the two outputs to full rail using the two complementary clock phases pc and pc_ b. These clock phases are generated using an H-bridge topology. The energy-efficient and multi-mhz operation of SBL with a single sub threshold supply has been demonstrated in silicon [4], [5]. Another departure from Boost Logic is that the same sub threshold supply is used to power a blip clock generator, producing two partiallyoverlapping clock waveforms and with peak values Fig 1. FIR block diagram and clock generator. Per-cycle energy consumption of an EBL gate is given by the equation E EBL= E Evaluation + E Boost+ E crowbar 3.FIR TEST-CHIP OVERVIEW. EBL gate has a built-in transparent latch, the state intensive nature of a transpose-type FIR filter coupled with the relatively simple combinational logic between its state elements. The FIR filter is pipelined to take advantage of EBL s potential for low latency overhead. Input data are broadcast to each tap within 1 cycle. Each 8x 8 multiplier takes 1.5 cycles to merge the partial products from the Booth mux to the sum and carry.

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2192 4:2 compressor array is formed by a series of 4:2 compressors cascaded together it together, is used to perform column-wise compression of the partial produce. By taking the NOT of Carry. Cell name FA_ con FA_ new FA_s D Power dissip a-tion Average delay Worst case delay Average PDP Worst case PDP Operation frequency 100% 100% 100% 100% 100% 100% 67% 104% 124% 70% 84% 87% 74% 79% 95% 58% 71% 113% Table 1: Comparison of Different Full The result is finally got the power consumption is Adders. reduced 50% and delay also reduced in this paper. The Sum function has an evaluation stack height of six, and the Carry function has an evaluation stack height of five. The 121.6 m layout 6.CONCLUSION. implementation of the 4-to-2 compressor in EBL, which has only 7.6% area overhead when compared The performance and energy advantages of to a static CMOS implementation. EBL, we have designed a biquad IIR filter in a 0.13µ m CMOS process. The proposed results were designed to support frequency-scaled operation and 4. EBL DESIGN METHODOLOGY. the clock generator. Table.2 comparisons of different filters. Charge-Recovery logic has been designed using transistor- level simulation to verify Design type Biquad 14 tap 8tap 6 functionality and electrical properties. The number of simulation cycles it takes to excite all possible input 8 bit bit bit Technology 0.13µ 0.13µ 0.18µ m m m Normal supply 1.0 1.2 1.8 1.2 combinations and all possible timing arcs is at least Operating frequency 450 466 225 1010 Sample 450 466 550 1010 exponential with the number of inputs. Even with the rate(m/samples) use of fast Spice programs such as Synopsys HSIM Power 40.0 39.1 36 122.5 dissipation(mw) or Cadence. Area(mm2) 0.3 0.34 0.3 0.85 Power/MHz/Tap/In 90.6n 93.6n 230nW 5. SIMULATION RESULTS AND bits/coeff bits W W DISCUSSION. This output is combined code for EBL, biquad, 20bit adder, boost logic and the compressor. The compressor is used for full adder. The 20 bit adder is widely used for carry save adder and ripple carry adder. 14 tap 8 0.13µm 133nW Latency is typically an order of magnitude higher than static CMOS design. At its resonant frequency of 450 MHz, the test-chip dissipates 40.1 mw with a 90.6 nw /MHz/Tap/InBit/CoeffBit.

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2193 7.REFERENCES. [1].W. Athas, L. Svensson, J. Koller, N. Tzartzanis, and E. Ying-Chin Chou, Low-power digital systems based on adiabatic-switching principles, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 2, no. 4, pp. 398 407, Dec. 1994. [2] S. Kim, C. Ziesler, and M. Papaefthymiou, A true single-phase 8-bit adiabatic multiplier, in Proc. Des. Autom. Conf., 2001, pp. 758 763. [3] V. Sathe, J.-Y. Chueh, and M. Papaefthymiou, 1.1 GHz charge recovery logic, in Dig. Techn. Papers IEEE Int. Solid- State Circuits Conf. (ISSCC), 2006, pp. 1540 1549. [4] W.-H. Ma, J. C. Kao, V. S. Sathe, and M. Papaefthymiou, A 187 MHz sub threshold-supply robust FIR filter with charge-recovery logic, in Proc. Symp. VLSI Circuits, 2009, pp. 202 203. [5] W.-H. Ma, J. Kao, V. Sathe, and M. Papaefthymiou, 187 MHz sub threshold- supply charge-recovery FIR, IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 793 803, Apr. 2010.

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2194

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2195