IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

Similar documents
Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

MAPPER: High throughput Maskless Lithography

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Progresses in NIL Template Fabrication Naoya Hayashi

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Development of Nanoimprint Mold Using JBX-9300FS

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Micro Photonics, Berlin

(Complementary E-Beam Lithography)

In-line focus monitoring and fast determination of best focus using scatterometry

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Scope and Limit of Lithography to the End of Moore s Law

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Electron Beam Lithography. Adam Ramm

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Process Optimization

Challenges of EUV masks and preliminary evaluation

Improving registration metrology by correlation methods based on alias-free image simulation

Optical Microlithography XXVIII

Advanced Patterning Techniques for 22nm HP and beyond

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

16nm with 193nm Immersion Lithography and Double Exposure

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

i- Line Photoresist Development: Replacement Evaluation of OiR

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

DSA and 193 immersion lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Lithography. International SEMATECH: A Focus on the Photomask Industry

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

New CD-SEM System for 100-nm Node Process

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Characterization of e-beam induced resist slimming using etched feature measurements.

Reducing Proximity Effects in Optical Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Mirror-based pattern generation for maskless lithography

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Design Rules for Silicon Photonics Prototyping

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Experimental measurement of photoresist modulation curves

Lithography Session. EUV Lithography optics current status and outlook. F. Roozeboom Professor TU Eindhoven & TNO-Holst Centre, Eindhoven, Netherlands

Front to Back Alignment and Metrology Performance for Advanced Packaging

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Intel Technology Journal

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Market and technology trends in advanced packaging

Scaling of Semiconductor Integrated Circuits and EUV Lithography

PICO MASTER 200. UV direct laser writer for maskless lithography

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Changing the Approach to High Mask Costs

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Line edge roughness on photo lithographic masks

Process Variability and the SUPERAID7 Approach

Registration performance on EUV masks using high-resolution registration metrology

Lithography Industry Collaborations

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Feature-level Compensation & Control

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

DOE Project: Resist Characterization

Update on 193nm immersion exposure tool

Introduction of New Products

Evaluation of Technology Options by Lithography Simulation

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

CD-SEM for 65-nm Process Node

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Innovative Mask Aligner Lithography for MEMS and Packaging

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

State-of-the-art device fabrication techniques

EUVL getting ready for volume introduction

Transcription:

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology L. Pain (1), B. Icard (1), M. Martin (1), C. Constancias (1), S. Tedesco (1), P. Wiedeman (2), A Farah (2), B. J. Kampherbeek (2) C Pieczulewski (3), H Kandrashov (4) (1) CEA LETI, MINATEC, 17 rue des martyrs, F-38054 GRENOBLE Cedex 9, France Phone number: (33) - (0)4 38 78 97 43 - Fax number : (33) - (0)4 38 78 20 21 (2) MAPPER Lithography B.V., Computerlaan 15, 2628 XK Delft, The Netherlands (3) SOKUDO Co., Ltd., 88 Kankoboko-cho, Shijodori-Muromachi-Higashiiru, Shimogyo-ku, Kyoto 600-8009 Japan (4) Dainippon SCREEN Deutschland GmbH, Mündelheimer Weg 3940472 Düsseldorf, Germany E-mail address : laurent.pain@cea.fr ABSTRACT In the latest ITRS roadmap updated in July 2010, Maskless remains identified as one of the candidate to address lithography needs for the sub-16nm technology nodes. The attractiveness of this solution in terms of cost and flexibility linked to the throughput potential of the massively parallel writing solutions maintain the interest of large scale IC manufacturers, such as TSMC (1) and STMicroelectronics, to push the development of this technology. In July 2009, LETI and MAPPER have initiated an open collaborative program IMAGINE focused on the assessment of the MAPPER technology. This paper reports on the key results obtained during this first assessment year in terms of: resolution capabilities, stitching performances, technology reliability and infrastructure development. It also provides an extensive overview on the maturity degree and the ability of this low energy accelerating voltage multibeam option to answer to the industry needs in the 2015 horizon. KEYWORDS : lithography, multi beam, low energy, photoresist, mask less 1. INTRODUCTION In the latest ITRS roadmap updated last July 2010, Maskless remains as one of the candidate to address lithography needs for the sub-16nm technology nodes. The attractiveness of this solution in terms of cost and flexibility linked to the throughput potential of the massively parallel writing solutions maintain the interest of large scale IC manufacturers, such as TSMC (1) and STMicroelectronics, to push the development of this technology. Nevertheless, the development of multibeam does not reach yet the level of EUV maturity which is the other candidate for 16nm technology node. Indeed, technological solutions developed in the US, with the KLA REBL project and in Europe with IMS Nanofabrication (Austria) and MAPPER (Netherlands) are still at the pre-alpha platforms level with limited capabilities to what will be needed for the high volume manufacturing platform. But even with limited funds and supports, these companies highlighted already the potential of this technology which is now considered as a real lithography option (2,3). In parallel to tool development, multibeam needs to rely on a robust infrastructure and for this partnership is essential to keep dynamic and know-how dissemination. Through its seventh framework program (FP7), from 2008 to the end of 2010, Europe has funded the first international multibeam consortium, named MAGIC, where MAPPER and IMS Nanofabrication solutions and multibeam infrastructure were jointly developed.

Starting July 2009, LETI and MAPPER have initiated an open collaborative program IMAGINE focused on the assessment of the MAPPER technology. TSMC and STMicroelectronics already joined this consortium followed by several infrastructure partners on data preparation, resist and processes. This paper briefly outlines first the objectives of this program. Then it reports the key results obtained during the first year of the MAPPER technology assessment with some focuses on tool reliability, resolution capabilities, process integration and E-Beam proximity correction strategy. The data collected in the LETI pilot line environment provides a first extensive overview on the maturity degree of this low energy accelerating voltage option to meet industry requirements in the 2015 horizon. 2. ENVIRONMENT OF IMAGINE PROGRAM 2.1 Short focus on IMAGINE program objectives and partnership The LETI-MAPPER IMAGINE program opened to industry partners intends to develop the required infrastructure to secure the quick start-up of the multi beam technology, as summarized in figure 1. It received the strong support of two major IC manufacturers STMicroelectronics and TSMC. Moreover, as detailed in figure 2, this initiative relies on a strong and growing partnership of large infrastructure partners working together with MAPPER and LETI in all the key fields of the technology from resist process to data handling. Figure 1 : Key missions of IMAGINE Figure 2 : Partnership status February 2011 2.2 Process infrastructure environment overview All the work presented in this paper has been performed within the CEA-LETI 300mm pilot line facilities. On the process side, a SOKUDO RF 3 track has been used for coating, baking and development processes. For high quality coating, automated small dispense units were used to ensure a good resist film uniformity. Up to 20 different chemically amplified resist (CAR) formulations provided by the 3 resist partners have been evaluated during the last semester of 2010. To cope with the low penetration depth of 5keV electrons, film thickness was set at 50nm. For the tool start-up, HSQ was used as reference resist until a first mature CAR resist was available. All metrology operations were performed on a HITACHI CG4000 scanning electron microscope. The beam-to-beam measurement studies presented below have been performed on beams randomly chosen over the 110 operational beams of the pre-alpha platform.

Figure 3 : Overview of the MAPPER technology Figure 4 : Key characteristics of the Pre-alpha tool The 300mm MAPPER platform is fully automated and the key characteristics of the pre-alpha platform installed at LETI are summarized above. 110 beams accelerated at 5keV are generated through the aperture array. From this MEMS essential element, beams are going through the beam blanker array. and at this level, the 110 beams are switched on and off individually by light signals, one for each e-beam. The beams control is generated through the data handling system containing the chip design in a bitmap format. Finally the beams are imaged at the wafer level through the last projection optic system. Beams issued from the blanker are going through or stopped at the beam stop array, then deflected and finally demagnified. The projection optic element was initially delivered with 35nm spot size capability and consequently upgraded current 2010 to 25nm spot size. 3.1 MAPPER platform reliability 3. RESULTS AND DISCUSSIONS One first concern regarding tool performance is its overall reliability and repeatability. The tool stabilization in the LETI environment was one of the first priority. A weekly monitoring of the platform was set up on the key parameters. One important component of this follow-up operation was the source. Indeed any drift in the source will induce loss of dose control and beam position errors. Therefore, at wafer level, Critical Dimension (CD), CD uniformity (CDU) and beam position will not be controlled. A specific source monitoring has been installed and the figure 5 presents the evolution of source current emission versus applied tension at cathode level for a 3 month period. Source operating point is located in the flat region and this graph clearly shows the source aging process. When the operating point starts to drift into the slope area the dose control cannot be maintained thus leading to process fluctuations. This simple follow-up is helpful to determine the source lifetime and was very useful for the definition of a preventive maintenance program. Source is now replaced on a quarterly basis and this control procedure allowed to work under very control and stable conditions. Activities of the IMAGINE program could be performed in good conditions and during the second semester of 2010, more than 500 hours of exposure were realized with 75% of these exposures successfully completed, as summarized in figure 6.

Cathode Aging Figure 5 : Beam current emission versus tension at cathode level Overview of 3 month follow-up 100,0% 90,0% 80,0% 70,0% 60,0% 50,0% 40,0% 30,0% 20,0% 10,0% 0,0% 300mm dummy Asterix S04 tool exposure statistics 2010/06 to 2011/01 Exposure type PCAR PCAR ref Resist type HSQ HSQ NewCAR New CAR Expo status Good Nb 93,0% 7,0% 55,6% 35,7% 1,8% 77,0% 23% Figure 6 : Summary of LETI MAPPER pre-alpha tool usage Ok Poor failed 3.2 Tool ramp-up at LETI site 3.2.1 Phase 1 : Imaging performance at 45nm hp node Once control of the key tool parameters was reached, the technology assessment program was initiated. It is structured in different phases linked to the pre-alpha configuration and upgrades plan. Beginning 2010, the MAPPER platform was delivered with a 35nm projection optics and without the blanker array. The resolution performances were targeted at 45nm half pitch (hp). Figures 7 and 8 presents the lithography results obtained in this configuration. As no CAR platform was yet available, this milestone was reached using HSQ resist. Tool imaging performances were measured on 20 beams randomly selected over the 110 beams available. It has to be noted that HSQ resist sensitivity is around 95µC/cm² at 5 kv, i.e. approximately seven times faster than at 50keV. 55 Wir 235 CD SEM 45nm 60 Wir235 CD vs Dose HCG4000 Threshold 60% 50 55 CD (nm) 45 40 35 Average 30 Sigma 3Sigma 25 20 0 5 10 15 20 Beam N# measurement CD 45nm Wir235 45.1nm 1.0nm 2.9nm Figure 7 : Beam to beam CDU at 45nm hp CD (nm) 50 45 40 35 30 25 y = 78.667x - 28.701 R 2 = 0.9507 20 0.8 80 0.85 0.9 90 0.95 100 1 105 1.05 Dose µc/cm²) (C/m²) 45nmhp Figure 8 : Dose latitude at 45nm hp HSQ resist 50nm film thickness Linéaire (45nmhp)

3.2.2 Phase 2 : Imaging performance at 32nm hp node After a stabilization period of the pre-alpha platform at this level of performances, it was decided to push the resolution by implementing a new generation of projection optics with a 25nm spot size capability. In parallel to this qualification work, a first positive CAR platform showed successful imaging performances, as described in the paragraph 3.3. This process became then our reference for the continuation of the tool assessment program. The Figure 9 presents the dose latitude and CDU data summary for one wafer exposure. CD and CDU were within the targets: 32nm +/-10%. Dose to size is around 30µC/cm², sensitivity aligned with the final sensitivity target of the MAPPER platform for the development of a 10 wafers per hour machine. Figure 10 details the wafer-to-wafer repeatability over a 6 week period. Overall CD and CDU were in target showing a good repeatability of the pre-alpha tool. During this experiment, several resist batches have been employed and the exposure dose of the last batch was slower compared to the 2 previous ones. CD, nm 39 37 35 33 31 29 S4_WiR241 CD vs Dose, HCG4000 Threshold 80% Average 27 30.8 Sigma 0.8 3Sigma 2.35 25 cd 32nm 0,25 0,27 dose 0.322 0,29 0,31 0,33 0,35 0,37 Dose, C/m2 Figure 9 : Dose latitude at 32nm L/S Positive CAR resist 50nm film thickness Resist Batch 1 Resist Batch 2 Resist Batch 3 New MILO Wir191 Wir192 Wir205 Wir206 Wir241 Wir242 Dose2size 32nm hp (µc/cm²) Average 31.2nm 51.90 30.27 48.50 32.54 51.20 30.19 52.50 28.45 33.30 31.97 33.30 33.50 Figure 10 : Wafer to wafer reproducibility 32nm hp 3.2.3 Phase 3 : Imaging performance at 32nm hp node with beam blanker After the successful implementation of the 25nm spot size upgrade, it was decided to integrate in the pre-alpha the beam blanker plate which allowed each beam to be driven individually. Figure 11 details beam to beam CDU for the exposure 267. It can be noticed that this new element did not affect the machine performances. Exposure latitude, presented in figure 12 for the exposure 266, remains unchanged with a large value and exposure dose stays around 30µC/cm². If we compare these 2 successive exposures it can be noticed that wafer-to-wafer repeatability is still within the +/- 10% specifications. The pre-alpha machine at LETI will stay in this configuration until the start of a new upgrade phases scheduled current 2011.

Wir267 P-CAR 10 Beams CDSEM 32nm hp -Hor&Vert- Asterix S04 Raster Scan exposure Wir 266 CD PCAR Exposure Biased 1Wafer, 20 beams, 5 different doses CD (nm) 44 42 40 38 36 34 32 30 28 26 24 22 20 B4 F7 G6 G8 H1 H3 H7 Beam N# I3 I8 Average Sigma 3Sigma Figure 11 : Beam to beam CDU at 32nm hp With blanker J7 33.5nm 0.8nm 2.5nm Mean'(nm) CD (nm) 40 38 36 34 32 30 28 26 Nb pt 22 min 30.22 max 33.74 Average 31.8 Sigma 0.9 3Sigma 2.6 cd 31.9nm 24 dose 0.333C/m² 22 20 0.25 0.3 30 0.35 40 0.4 Dose µc/cm²) (C/m²) Figure 12 : Exposure dose latitude at 32nm hp Positive CAR resist Film thickness 50nm 3.3 Resist process development 3.3.1 Imaging stack adaptation Resists for direct write lithography are widely commercially available but most of those platforms have been developed for 50kV accelerating voltage. At 5kV, which is the working condition of the MAPPER platform, overall know-how is less important. The composition of the imaging stack has to be optimized to deal with the specificity of the low accelerating voltage. The penetration depth of low energy electrons is much smaller as well as backscattered effects that are approximately 100 times lower than the 50kV one s. This stack has also to be compatible with the industry in terms of material and etching performances silicon Ref stack Figure 13 : Influence of process stack on point spread function Experimental Results (MAPPER) β (5keV) η (5keV) Silicon 190nm 0,9 Reference 300nm 0,4 Figure 14 : Imaging performance of HSQ on silicon and reference stack

To deal with low penetration depth and keep a straight resist profile, the film thickness has to be reduced around 50nm, which is similar to the film thickness that will be used for extreme ultra violet (EUV) lithography. On the other hand the imaging contrast can be increased through process stack optimization by reducing the overall backscattered effects. Figure 13 presents the resist point spread function on bare silicon and using our reference process stack. As it can be noticed the, overall background represented by the η parameter (ratio between forward and backscattered electrons) is significantly smaller (X2). The impact on imaging performance is directly visible in figure 14 on the HSQ lines resolved on silicon and on this reference process stack. All the imaging results presented in this paper have been obtained on this specific stack. 3.3.2 Outlook on Chemically amplified resist imaging performance Another important part of the IMAGINE program is the development and qualification of resist platforms optimized for 5kV accelerating voltage technology. Up to 20 different CAR platforms were evaluated during 2010 last six months. The first objective of IMAGINE was to qualify a first CAR platform compatible with CMOS environment and aligned with the 32nm hp resolution target,.obtained results are highlighted in figure 15. This resist formulation is now used for the tool follow-up and is our reference material for the benchmark activities. Furthermore this platform shows a sensibility level around 30µC/cm² aligned with the throughput target (10wph) of the MAPPER technology high volume manufacturing platform. Finally this resist even demonstrated a resolution capability down to 27nm L/S with high patterning quality Different resist formulations have been screened and a snapshot of the results obtained on both positive and negative tones is presented in the figures 14 and 15. On the positive CAR side, fast resist platform, around 10µC/cm², with resolution down to 40nm hp will be an interesting material for high throughput applications allowing significant writing time gain for either relaxed technology nodes or non critical layers. pcar reference process (FT=50nm) Design 32nm hp Dose =30µC/cm² @5kV Pushed resolution : 27nm hp Dose =30µC/cm² @5kV Figure 13 : Overview of CAR reference process capability for L/S patterning

Figure 14 : Example of pcar resist formulation tested on MAPPER platform at 5kV HSQ platform Negative CAR platform Figure 15 : Resolution achievement on negative tone resist For the negative tone resist, HSQ platform gives currently the best performances on the MAPPER tool with 22nm features printed. Resolution and roughness can be improved on this resist by using more aggressive TMAH developer normality at the expense of increasing significantly the exposure dose (factor of 2). Concerning negative CAR platform, development is today unfortunately poorly supported by resist suppliers and should require more attention. Indeed such negative tone resists would have a great impact in minimizing backscattered contribution for the patterning of clear field levels. 45nm hp represents up to now the best resolution achieved so far. 3.3.3 First process integration demonstration Figure 16 and 17 details the integration tests performed on both HSQ and positive CAR resists. The first purpose was to demonstrate that aggressive patterns can be transferred into the final technological stack. This work demonstrated that no major issues are foreseen and confirmed that resist process developed on and for low accelerating voltage are fully compatible with the standard CMOS flow.

Figure 16 : Etch transfer study of 22nm logic gate HSQ resist 22nm CD Figure 17 : Etch transfer study of positive CAR Reference process 32nm hp 3.4 Quick snapshot on E-Beam proximity correction Another important element for maskless lithography toward a high volume manufacturing platform will be its data path infrastructure. This thematic covers a wide range of activities. The first part has to be performed off-line and includes all data treatment from the GDS file to the final format, i.e. fracturing, implementation of proximity correction and final input format. The second phase will be performed in line with tool specific inputs like, for example, beam position correction.. One goal of IMAGINE is to define the specifications of this future infrastructure. As shown for example in figure 18, in the case of the implementation of the proximity corrections, the work performed intends to determine what is the best strategy between the standard dose modulation applied today in E-beam lithography or alternative approaches OPC-like strategy based on geometry correction only or a combination of both solutions. Several works are initiated to understand the sensitivity and accuracy of each strategy as shown in figure 19 where the CD error of various 1D and 2D test structures is evaluated versus the proximity correction strategy (4). Figure 18 : Schematic of off-line data treatment for multibeam lithography

Figure 19 : Sensitivity of various 1D and 2D test structures versus proximity correction strategy 4. CONCLUSIONS Significant achievements have been reached in 2010 within the IMAGINE program. After a first period dedicated to platform hardening, the ramp-up of the MAPPER pre-alpha platform within the LETI environment has been successfully performed according to its objectives. End of 2010, the tool is configured with the beam blanker element and can resolve 27nm hp features in positive tone chemically amplified resist. This achievement confirms the potential of this technology as a real option to address CMOS manufacturing. The IMAGINE program with its industrial partners will continue its assessment mission in 2011 and will pursue the qualification of the new planned upgrades on the LETI platform: beam to beam stitching, resolution improvement and alignment demonstration. It will intensify the development of its infrastructure to provide to its partners a competitive advantage ahead to the future insertion of this technology into an industrial environment. 5. REFERENCES (1) 2. B. J. Lin, Proc. SPIE 6520, pp. 1-18, 2007. (2) C. Klein, Proc. SPIE 6921, 2008. (3) V. Kuiper et al, Proc. SPIE, Vol 7470, 2009 (4) J. Belledent et al, Proc. SPIE, Vol 7970, to be published 2011