EUVL Mask Manufacturing-Technologies and Results

Similar documents
Critical Challenges of EUV Mask Blank Volume Production

Challenges of EUV masks and preliminary evaluation

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Comparative Study of Mask Architectures for EUV Lithography

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Process Optimization

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Line edge roughness on photo lithographic masks

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

2009 International Workshop on EUV Lithography

Registration performance on EUV masks using high-resolution registration metrology

EUV Lithography Transition from Research to Commercialization

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Recent Development Activities on EUVL at ASET

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Development of Nanoimprint Mold Using JBX-9300FS

Progresses in NIL Template Fabrication Naoya Hayashi

i- Line Photoresist Development: Replacement Evaluation of OiR

Inspection of templates for imprint lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Improving registration metrology by correlation methods based on alias-free image simulation

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Sub-50 nm period patterns with EUV interference lithography

Comparison of actinic and non-actinic inspection of programmed defect masks

Progress in full field EUV lithography program at IMEC

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Fabrication For Nanoimprint Lithography

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Optolith 2D Lithography Simulator

Major Fabrication Steps in MOS Process Flow

EUV Interference Lithography in NewSUBARU

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Development of ultra-fine structure t metrology system using coherent EUV source

State-of-the-art device fabrication techniques

Near-field optical photomask repair with a femtosecond laser

Photolithography I ( Part 1 )

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Design Rules for Silicon Photonics Prototyping

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Chapter 3 Fabrication

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Micro- and Nano-Technology... for Optics

Feature-level Compensation & Control

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

Silicon Photonic Device Based on Bragg Grating Waveguide

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Reducing Proximity Effects in Optical Lithography

The Development of Full Field High Resolution Imprint Templates

Flare compensation in EUV lithography

Photolithography Technology and Application

Evaluation of Technology Options by Lithography Simulation

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Business Unit Electronic Materials

Pellicle dimensions for high NA photomasks

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

Managing Within Budget

DOE Project: Resist Characterization

Key Photolithographic Outputs

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Optical Microlithography XXVIII

OPC Rectification of Random Space Patterns in 193nm Lithography

Atlas 46 novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44

Analysis of critical dimension uniformity for step and flash imprint lithography

193-nm Immersion Photomask Image Placement in Exposure Tools

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

16nm with 193nm Immersion Lithography and Double Exposure

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Transcription:

EUVL Mask Manufacturing-Technologies and Results Florian Letzkus *a, Joerg Butschke a, Mathias Irmscher a, Holger Sailer a, Uwe Dersch b, Christian Holfeld b a IMS Chips, Allmandring 3a, 7569 Stuttgart, Germany b Advanced Mask Technology Center GmbH, Rähnitzer Allee 9, 19 Dresden, Germany ABSTRACT Extreme Ultraviolet Lithography (EUVL) is the favourite next generation lithography candidate for IC device manufacturing with feature sizes beyond 32nm. Different stacks and manufacturing concepts have been published for the fabrication of the reflective EUVL masks [1]. Patterning processes for two different absorber-buffer combinations on top of the reflective multi layer mirror have been developed. A TaN/SiO 2 absorber-buffer stack was provided by supplier A and TaBN/Cr by supplier B. In addition both absorbers were covered by an anti reflective coating (ARC) layer. An e-beam patterned 3nm thick film of Fuji FEP171 was used as resist mask. We optimized the etching processes for maximum selectivities between absorber, buffer and capping layers on the one hand and rectangular profiles and low etch bias on the other hand. While both TaN based absorbers have been dry etched in an UNAXIS mask etcher III, wet and dry etch steps have been evaluated for the two different buffer layers. The minimum feature size of lines and holes in our test designs was nm. After freezing the processes a proximity correction was determined considering both, the influence of electron scattering due to e-beam exposure and the influence of the patterning steps. Due to the correction an outstanding linearity and iso/dense bias on different test designs was achieved. Various masks for printing experiments at the small-field Micro Exposure Tool (MET) in Berkeley and the fabrication of the ASML α-tool setup mask within the European MEDEA + EXTUMAS project were done using the developed processes. Finally, we will compare and discuss the results of the two stack approaches. Keywords: EUV mask, dry etch, absorber, buffer, proximity correction 1. INTRODUCTION In contrast to standard binary or phase shifting masks in optical transmission lithography EUV masks are working in reflection. The 13,4nm exposure wavelength is reflected by a stack of 4 Mo/Si bilayer which serve as a bragg mirror at this wavelength. The mirror is covered by a thin Si or Ru capping layer for environmental protection [2] followed by a buffer layer for repair purposes and an absorber layer which absorbs the EUV radiation during exposure. On top of the absorber is a thin ARC (Anti Reflective Coating) in order to optimize inspection contrast. The exploration of SiO 2 and Cr buffer layers and Ta or Ti based absorbers has been reported in literature [3, 4, 5]. Patterning of an EUVL mask blank is a great challenge due to the tight CD uniformity, linearity and placement requirements listed in the SIA roadmap. In general the EUVL mask patterning process starts with the e-beam exposure of a mask pattern into a chemically amplified resist layer. Subsequent this pattern is dry etched into the absorber layer and finally after resist removal into the buffer layer. After every single etch step defect inspection and repair steps for the absorber and buffer have to be carried out. High etch selectivities and thin layer thicknesses are desirable and a great advantage for both etching processes. The insertion of a thin absorber layer allows the use of thin resist which is mandatory for sub nm resolution due to pattern collapse and minimizes the RIE lag effect and etch bias during dry etch. The necessity and thickness of the * Letzkus@ims-chips.de, phone: +49-711-21855-451, fax: +49-711-21855-111

buffer layer mainly depends on the used absorber repair technology. Focus ion beam techniques require a buffer thickness of 4-6nm to avoid penetration of ions into the multilayer mirror, whereas e-beam absorber repair does not need any buffer layer due to zero impact to the underneath capping layer and multilayer mirror [6]. In addition only a thin buffer layer thickness gives the possibility for the evaluation of an isotropic wet etch process with high selectivities to the absorber and capping layer. We investigated the etch behaviour of two different absorber and buffer materials with different layer thickness. Two different dry etch absorber processes and different dry and wet etch buffer processes were developed. Etch profiles after absorber and buffer etch, selectivities and buffer etch bias were determined. CD uniformity measurements before and after buffer etch were performed on different test patterns. Main focus of the process development was kept on the absorber/buffer combinations with the nm thin buffer layer. Finally a new proximity correction function for the TaN/SiO 2 absorber dry/buffer wet etch process was evaluated and proven by CD linearity measurements. With the developed TaN absorber/sio 2 buffer wet etch and TaBN absorber/cr buffer dry etch patterning processes various EUVL small and fullfield testmasks, especially the ASML α-tool setup mask have been fabricated and characterized. 2. EXPERIMENTAL For the TaN/TaBN absorber and SiO 2 /Cr buffer etch process development different test pattern for profile/selectivity determination and uniformity/linearity measurements were designed. Dense, clear and opaque line and contacthole structures with feature sizes from 5nm-nm were designed for profile analysis. For linearity measurements the feature size range was extended to 96nm-nm. The dry etch process development was performed on 6 inch/25mil masks. Table 1 summarizes the used blank material for process development with their different layer stack and layer thicknesses. Binary TaN absorber/quartz blanks were used for TaN absorber etch process development whereas so called EUV dummy blanks with replaced multilayer due to cost reasons were used for Cr, SiO 2 buffer and TaBN absorber etch process development. For mask coating positive tone chemically amplified resist FEP 171 (Fuji) was used. The mask blank was exposed with the 5kV Leica SB35 MW variable shaped e-beam writer. After post exposure bake on a zone controlled hotplate the mask was developed with a TMAH based developer (TOK NMDW) in a 3x puddle process. The TaN, TaBN absorber and SiO 2, Cr buffer dry etch process development was completed with a two chamber UNAXIS mask etcher III, equiped with optical laser systems for endpoint detection, operating at a wavelength of 673nm. The SiO 2 and Cr buffer wet etch process development was carried out in standard wet bench tanks. SiO 2 and Si thickness and TaN/TaBN absorber reflectivity measurements before and after absorber/buffer etch were performed on a LEITZ MPVSP optical interference microscope and on a n & k measurement tool. CD uniformity and linearity measurements were done on a LEO 156 SEM and on a HOLON SEM. In addition profile and cross section analysis of etched samples were done on the LEO156 SEM. 3. RESULTS AND DISCUSSION 3.1. Pattern Profile after Absorber and Buffer Etch For the two different TaN and TaBN absorber dry etch processes a chlorine/helium etch chemistry was used. The figures 1 a)-c) show SEM cross sections of nm, 125nm L&S and 125nm contactholes after TaBN absorber and figures 2 a)-c) after TaN absorber etch. Vertical etch profiles were obtained for both absorbers with a slight top corner rounding at the top ARC layer for the TaBN etch process. Simultaneously dense line and contacthole patterns were etched indicating a very low feature size dependent etch rate. Furthermore, both processes did stop with a high selectivity on the corresponding buffer layer/substrate. The SiO 2 and Cr buffer etch process development was separated into a wet etch and dry etch approach. The wet etch aproach was tested and verified only for a buffer thickness of nm due to the isotropic etch behaviour, which results in an underetch and worst case in a complete pattern collapse of high aspect ratio absorber features. In contrast, the anisotropic behaviour of a dry etch process allows the insertion of thicker buffer layers e.g. with 4nm-6nm thickness. For the SiO 2 buffer wet etch a fluorine based etch chemistry was used. The figures 3 a)-c) show SEM cross sections of nm L&S after TaN etch and different SiO 2 buffer etch times on EUV dummy blank material (s. table 1). Figure 3 a)

shows the initial TaN profile before buffer etch, figure 3 b) after SiO 2 wet etch time t=1x and 3 c) after SiO 2 wet etch time t=3x. A clear undercut of the TaN absorber of ~ 6nm is visible in fig. 3 c) due to the excessive SiO 2 overetch time. Besides no degradation of the absorber thickness/profile and Si capping layer was observed. The optimized wet etch process time in figure 3b) and figures 4a)-c) for 1nm dense and isolated line/ space features shows a very slight underetch at the buffer/capping interface of about 15-nm per edge. In comparisson the figures 5 a)-c) show SEM cross sections of nm L&S after TaBN etch and different Cr buffer wet etch times on the EUV dummy blank material. A standard wet etch solution for photomask Cr absorber etching was applied. Figure 5a) shows the initial TaBN profile before buffer etch, figure 5 b) after a Cr wet etch time t=1x* and figure 5 c) after a Cr wet etch time t=3x*. No degradation of the absorber thickness/profile and Si capping layer, similar to the SiO 2 buffer wet etch process, was observed. The optimized wet etch process time in figure 5 b) shows a very slight but acceptable underetch at the buffer/capping interface. For the Cr buffer dry etch process a chlorine/oxygen based etch chemistry was used. Figure 6 a) shows the initial etch profil of nm L&S after TaBN etch on EUV dummy blank material with a nm thick Cr buffer. Figure 6 b) shows the corresponding etch profile after the Cr buffer dry etch. No degradation of the TaBN absorber thickness/profile and Si capping layer was observed. The results for the 6nm SiO 2 buffer dry etch process are displayed in the SEM cross sections of figure 7 a) and b) for nm L&S. A CHF 3 /O 2 etch chemistry was used. A vertical etch profile was realized for the complete absorber/buffer trench. The achieved etch selectivity to the TaN absorber and Si capping layer was dissatisfying. In total a thickness loss of the top ARC coating of approximately 8nm, which causes a bad contrast during inspection and a SiO 2 /Si selectivity of 1,55 was measured. Therefore the dry etch and thick SiO 2 buffer approach was not tracked any further. Table 2 summarizes the etch rates for the different absorber and buffer etch processes. Remarkable are the high etch selectivities of the SiO 2 and Cr buffer wet etch processes to the TaN/TaBN absorber and Si capping layers, which is necessary for a good inspection and printing performance of the final EUVL mask. DUV visible light reflectivity measurements of the patterned absorber for the complete patterning process concerning absorber etch, resist strip, thin buffer etch (SiO 2 wet etch, Cr dry etch), final clean, did not show any change in reflectivity at the 257nm and a slight change (<,7%) at the 365nm inspection wavelength ( s. figure 8 and 9). 3.2. CD Uniformity CD uniformity measurements after the absorber and buffer etch process for the TaN/thin SiO 2 and TaBN/thin Cr absorber/buffer combination were executed. The SiO 2 buffer was wet etched whereas the Cr buffer was dry etched according to the processes described in section 3.1. CD analysis of the SEM pictures was done with the linewidth measurement software from SIS (Soft Imaging System). Figure shows the results after TaN absorber etching for nm L&S covering a quality area of 13mm x 13mm. 64 measuring sites in an 8 x 8 matrix were measured. A distribution of 6,4nm 3σ was obtained. After the SiO 2 buffer wet etch 8,2nm 3σ was measured (Fig. 11). This difference is within the measurement accuracy of our CD SEM. A comparison of the CD mean values for the TaN absorber and SiO 2 buffer etch processes indicats an etch bias of the SiO 2 wet etch process of about 2nm. This bias was also measured for different features (e. g. clear and opaque lines, contactholes) and therefore was feature and size independent. This TaN/SiO 2 absorber/buffer patterning process was frozen and a new proximity correction function (pcf) was evaluated to improve linearity (s. section 3.3). The figures 12 and 13 show the results after TaBN absorber and Cr buffer dry etch process. 14nm L&S were measured in a x matrix at sites covering a quality area of 121mm x 121mm. A distribution of 9nm 3σ was measured after the TaBN absorber etch and finally after the Cr buffer etch. No etch bias for the Cr buffer dry etch process was measured. 3.3. Proximity Correction All electron beam patterning processes are highly influenced by the so-called proximity effect. Electrons can be scattered back from the substrate and cause an additional dose contribution in the vicinity of the exposed region. Thus large or dense features get a higher electron dose than small or isolated features, resulting in a deviation from the originally designed size and shape. As the dimensions shrink, it becomes more and more important to compensate for this effect. There are well known techniques to compensate the electron scattering effect using dose or size modulation or both. The electron scattering depends on properties of the involved substrate and resist and the energy of the incident electron

beam. By application of Monte Carlo simulation methods, an absorbed energy distribution density and thus a point spread function consisting of two Gaussian functions can be obtained as a control function for proximity effect correction software. This method however only includes electron scattering, other process characteristics with influence on size and shape such as acid diffusion in chemically amplified resists or microloading effects during etching are disregarded. Therefore Leica Microsystems developed a semi-phenomenological method [7, 8] to determine a processdependent point spread function as an input fuction for the PROXECCO proximity correction software. Here, the control function is obtained by measurement of uncorrected patterns, which are generated using the desired process and which can be already transferred into the mask. In an iterative back-simulation step the measured data is compared with simulated data in order to find an optimized parameter set as a control function. This method was successfully demonstrated for patterning processes on chromium masks and other applications [7, 8, 9] but up to now, it has not been applied to TaN based absorbers. After definition of the patterning process first a calibration mask with a test design of uncorrected features was processed and transferred into the TaN layer. For a small number of predefined features, the dose-to size was derived as well as their size against the duty ratio which was varied between 1:1 and 1:. In the following back-simulation the control function for the proximity correction was derived from the measured data using the Prox-In software which was developed by Leica Microsystems. Fig. 14 shows a comparison between the measured and the simulated data for the finally used parameter set. In this case, a proximity function consisting of three Gaussian functions was fit to the data in order to include process related mid-range contributions. Base dose for the correction by dose modulation was derived to. µc/cm 2, which corresponds to the dose-to size value of 1:1 dense lines, which should be the same for all feature sizes, when considering only scattering effects. The test design was proximity corrected with the obtained control function using the PROXECCO software and processed on a second mask with the same process in order to verify the correction results which were measured using a LEO 156 SEM. The results of the verification are summarized in figure 15, 16 and 17. The results show an excellent linearity in the regime between 15 nm and 1 µm, as well as a good behaviour for duty ratios between 1:1 and 1: for both clear and opaque patterns. 3.4. CD Linearity Linearity measurements were carried out after the complete patterning process of e-beam lithography, TaN absorber and SiO 2 buffer wet etch on two different substrates. First on EUVL dummy mask blanks (s. table 2) and second on multilayer coated EUVL mask blanks. Dense clear/dark and isolated line features were measured from 96nm -nm feature size. The figures 18-21 display the measurement results/off target values achieved on dummy EUVL mask blanks after the complete patterning process. Only difference between figures 18-19 and -21 was the data preparation of the mask pattern data. Process related issues like the recipes for TaN etch and SiO 2 buffer wet etch were kept constant. For the results of fig. 18 and 19 a former, non optimized proximity correction function pcf was used, whereas the results in fig. and 21 were obtained with the new TaN pcf, which was explained in section 3.3. Very flat linearity curves in the measured regime were reached with the new evaluated TaN pcf. A linearity analysis of the data is listed in table 3. Linearity for the measured features and range is defined as maximum off target-minimum off target value. In comparisson to the old pcf a major linearity improvement for dense dark/clear and isolated features was realized with the new TaN pcf on the EUVL dummy blanks. For dense features a decrease of approximately 13nm to 5nm was achieved, for isolated line features from 18nm to 11nm and for isolated spaces from 13nm to 3nm. Significant was the decline of the isolated line linearity curve for feature sizes beyond nm-25nm, showing the present boundary of the applied pcf model. The figures 22 a)-f) display SEM top down pictures of 1nm dense and isolated features on the same mask after TaN absorber and SiO 2 buffer wet etch with different adjacent areas, demonstrating the capability by the TaN pcf. After proof of concept of the new TaN pcf on the EUVL dummy material, the transition to EUVL multilayer coated blanks was carried out. Table 3 shows the achieved results. Remarkable is the different linearity behaviour on the multilayer coated blanks in comparisson to the EUVL dummy mask blanks. The probable reason for that is the different electron backscattering behaviour of the dummy material compared to the EUV reflecting material. Therefore next step and future work will be the evaluation of a specific pcf on EUVL multilayer substrates for linearity improvement.

3.5. MET EUVL small field Testmasks According to the developed buffer and absorber etch processes for the TaN/ thin SiO 2 and TaBN/thin Cr absorber/buffer layer system, multilayer test masks for EUVL exposure experiments at the MET in Berkeley/USA were fabricated. The figures 23 and 24 show SEM top down images after the complete absorber/buffer patterning process. nm real device structures (poly gate layer similar pattern) were realized for the two absorber /buffer layer systems. 3.6. ASML α-tool full field EUVL Setup Mask Within the European EXTUMASK project, which was headed by the AMTC, the delivery of the ASML α-tool setup mask was the major milestone in the 4 year running project. This mask was fabricated in a close collaboration between the AMTC and IMS Chips. E-beam patterning, TaN absorber and SiO 2 buffer etching was done at IMS Chips whereas the final characterization, CD metrology, cleaning and packaging was done at the AMTC. Figure 25 shows the final full field ASML α-tool setup mask. The mask pattern defined an area of 141mm x 141mm with an opening density of ~ 6 %. nm L&S and isolated lines in x- and y- direction were realized after the whole patterning process, leading to 25nm minimum feature sizes after 4x printing. SEM top down pictures of the final mask features are shown in the figure 26 a)-c). 4. CONCLUSION Patterning processes for two different absorber/buffer layer combinations were developed and compared with each other. Two different absorber processes for TaN and TaBN were evaluated. nm dense and isolated line minimum structures could be resolved in both absorbers. Two buffer materials, SiO 2 and Cr and two process aproaches for buffer etching were tested succesfully. Wet etch processes for nm and dry etch processes for 4nm-6nm thick buffer layers. High etch selectivities of the SiO 2 and Cr buffer wet etch to the TaN based absorbers and Si capping material were measured. In contrast the dry etch processes had worse selectivities, specially the SiO 2 dry etch process. For the nm SiO 2 wet and Cr dry etch buffer process an etch bias of 2nm and even nm was measured, which was feature and size independent. In addition CD uniformity measurements at nm and 14nm L&S for the TaN/SiO 2 and TaBN/Cr absorber/buffer patterning process resulted in final 3σ 9nm. After a freeze of the TaN dry and SiO 2 wet etch process the extraction of proximity correction parameters was succesfully implemented and excellent linearity values on EUVL dummy blanks were achieved. 5nm for dense pattern and 3nm for clear lines covering 1nm-96nm feature size, 11nm for opaque lines from 16nm-8nm. So far these linearity results could not be repeated on multilayer coated EUVL blanks and further work is needed to derive a new proximity correction function for this base material. Efficient TaN/SiO 2 and TaBN/Cr multilayer test masks for exposure experiments at the MET with nm minimum feature size for dense/isolated lines and poly gate similar pattern were fabricated and characterized. The ASML α-tool setup mask was fabricated and delivered to the involved partners. Minimum feature size was nm for dense and isolated structures. 5. ACKNOWLEDGMENTS We would like to acknowledge the assistance and support of Dirk Beyer from Leica Mirosystems for the proximity correction. This work has been supported by MEDEA +, Project: EXTUMASK, the German Federal Ministry for Education and Research, (contract sign 1 M 364a and b) and by the Ministry of Economic Affairs of Baden- Wuerttemberg. The authors alone are responsible for the content.

REFERENCES 1. P. Mangat, S. Hector, EUVL Masks: Paving the path to commercialization, Proceedings of SPIE Vol. 449, 1 2. P. Y. Yan, G. Zhang, S. Chegwidden, E. Spiller, P. Mirkarimi, EUVL masks with Ru ML capping, Photomask Technology and Management, SPIE Vol. 5256, 1281, 3 3. M. Hosoya, T. Shoki, T. Kinoshita, N. Sakaya, O. Nagarekawa, Study on exposure contrast of an EUV mask, Proceedings of SPIE,513, pp. 26-34, 3 4. M. Takahashi, T. Ogawa, E. Hoshino, H. Hoko, B. T. Lee, A. Chiba, H. Yamanashi, S. Okazaki, Tantalum nitride films for the absorber material of reflective-type EUVL mask, Proceedings of SPIE 4343, pp. 76-77, 1 5. P. Y. Yan, G. Zhang, P. Kofron, J. Powers, M. Tran, T. Liang, A. Stivers, F. C. Lo EUV mask absorber characterization and selection, Proceedings of SPIE,466, pp. 116-123, 6. V.A. Boegli, K. Edinger, M. Budach, O. Hoinkis, B. Weyrauch, H.W.P. Koops, J. Bihr, J. Greiser Application of electron beam induced processes to mask repair, Photomask Japan 3, Yokohama, Japan, April 16-18, 3 7. J. Butschke, D. Beyer, C. Constantine, P. Dress, P. Hudek, M. Irmscher, C. Koepernick, C. Krauss, J. Plumhoff, P. Voehringer, 9 nm mask making processes using the positive tone chemically amplified resist FEP171, Proc. SPIE Int. Soc. Opt. Eng. 5256, 344, 3 8. P. Hudek, D. Beyer, T. Groves, O. Fortagne, W.J. Dauksher, D. Mancini, K. Nordquist, D.J. Resnick, Shaped beam technology for nano-imprint mask Lithography, Proc. SPIE Int. Soc. Opt. Eng. 554, 4, 4 9. M. Irmscher, D. Beyer, J. Butschke, P. Hudek, C. Koepernick, J. Plumhoff, E. Rausa, M. Sato, P. Voehringer, Mask patterning processes using the negative tone chemically amplified resist TOK OEBR-CAN24, Proc. SPIE Int. Soc. Opt. Eng. 5446, 46, 4

a) b) c) Fig. 1 a)-c): nm, 125nm L&S and 125nm contacthole after TaBN absorber etch a) b) c) Fig. 2 a)-c): nm, 125nm L&S and 125nm contacthole after TaN absorber etch a) b) c) Fig. 3 a)-c): nm L&S before and after TaN absorber and nm SiO 2 buffer wet etch a) b) c) Fig. 4 a)-c): 1nm L&S, isolated space and isolated line after TaN absorber and nm SiO 2 buffer wet etch

a) b) c) Fig. 5 a)-c): nm L&S before and after TaBN absorber and nm Cr buffer wet etch a) b) Fig. 6 a)-b): nm L&S after TaBN absorber and nm Cr buffer dry etch a) b) Fig. 7 a)-b): nm L&S after TaN absorber and 6nm SiO2 buffer dry etch Refl (%) + 2, + 1,, -1, -2, Total Process AMTC, Dresden 4 6 8 Wavelength (nm) Refl (%) + 2, + 1,, -1, -2, Total Process AMTC, Dresden 4 6 8 Wavelength (nm) Fig. 8: DUV-Vis reflectance of TaBN absorber after resist strip, Cr buffer dry etch and final clean Fig. 9: DUV-Vis reflectance of TaN absorber after resist strip, SiO 2 buffer wet etch and final clean

Linewidth [nm] Mean [nm] 6, 3 sigma [nm] 6,4 3 sigma [%] 3,1 Min [nm] 1, Max [nm] 2, Range tot [nm] 9, Range tot [%] 4,4 Range +/- [nm] 5, Range +/- [%] 2,4 Mean [nm] 7,7 3 sigma [nm] 8,2 3 sigma [%] 3,9 Min [nm] 2, Max [nm] 212, Range tot [nm], Range tot [%] 4,8 Range +/- [nm] 5,7 Range +/- [%] 2,8 Fig. : CD uniformity, nm L&S after TaN absorber etch +15nm +nm +5nm +/- nm -5nm -nm -15nm Fig. 11: CD uniformity, nm L&S after SiO 2 buffer wet etch +15nm +nm +5nm +/- nm -5nm -nm -15nm Mean [nm] 144,6 3 sigma [nm] 9, 3 sigma [%] 6,2 Min [nm] 137, Max [nm] 149, Range tot [nm] 12, Range tot [%] 8,3 Range +/- [nm] 7,6 Range +/- [%] 5,2 Mean [nm] 145, 3 sigma [nm] 9, 3 sigma [%] 6,2 Min [nm] 137, Max [nm] 15, Range tot [nm] 13, Range tot [%] 9, Range +/- [nm] 8, Range +/- [%] 5,5 Fig. 12: CD uniformity, 14nm L&S after TaBN absorber etch +15nm +nm +5nm +/- nm -5nm -nm -15nm Fig. 13: CD uniformity, 14nm L&S after Cr buffer dry etch +15nm +nm +5nm +/- nm -5nm -nm -15nm 687 Calculated Measured 674 668 665 658 655 632 634 6 617 69 65 6 61 1 Duty-Ratio Fig. 14: Backsimulation, measured vs. simulated data 66 CD feature - CD target [nm] 5 4 3 - -3-4 -5 Linearity for dense and isolated features - 3 4 5 6 7 8 9 Target CD [nm] x iso clear space x iso dark line x dense clear line Fig. 15: Linearity after proximity correction measured on the verification mask

CD feature - CD target [nm] CD off target vs. duty ratio clear lines 3 15 nm 3 nm 6 nm 5 15 - - CD feature - CD target [nm] CD off target vs. duty ratio opaque lines 3 15 nm 3 nm 6 nm 5 15 - - -3 DR 1: Fig. 16: CD off target vs. duty ratio, clear lines -3 DR 1: Fig. 17: CD off target vs. duty ratio, opaque lines CD feature - CD target [nm] Linearity for dense features 3 x dense clear line x dense clear space x dense dark line x dense dark space 3 4 5 6 7 8 9 - - CD feature - CD target [nm] Linearity for isolated features 3 x iso dark line x iso clear space 3 4 5 6 7 8 9 - - -3 Target CD [nm] -3 Target CD [nm] Fig. 18: CD off target for dense features, old pcf. Fig. 19: CD off target for isolated features, old pcf. CD feature - CD target [nm] Linearity for dense features 3 x dense clear line x dense clear space x dense dark line x dense dark space 3 4 5 6 7 8 9 - - -3 Target CD [nm] Fig. : CD off target for dense features, new pcf. CD feature - CD target [nm] Linearity for isolated features 3 x iso dark line x iso clear space 3 4 5 6 7 8 9 - - -3 Target CD [nm] Fig. 21: CD off target for isolated features, new pcf.

a) b) c) d) e) f) Fig 22a)-f): 1nm pattern after TaN absorber and SiO 2 buffer etch a) b) c) Fig. 23 a)-c): nm poly gate structures and isolated line after TaN absorber and SiO 2 buffer wet etch a) b) c) Fig. 24 a)-c): nm poly gate structures after TaBN absorber and SiO 2 buffer dry etch

Fig. 25: ASML α-tool setup mask a) b) c) Fig 26a)-c): nm dense and isolated lines after TaN absorber and SiO 2 buffer etch

Absorber Buffer Binary Mask Blank EUV Dummy Blank TaN, d=7nm SiO 2, d=-6nm TaN/Qtz TaN/SiO 2 /Dl/Qtz TaBN, d=6nm Cr, d=-4nm TaBN/Qtz TaBN/Cr/Dl/Qtz Table 1: Layer thicknesses and blank material for process evaluation Etch Rate [nm/min] TaN TaBN Cr SiO2 Si FEP 171 Dry Etch Process TaN 19 - - 2,5-33,6 TaBN - 34 n.m. - - 49,8 SiO2,8 - - 6,2 4 - Cr - n.m. 36 -,5 - Wet Etch Process SiO2 n.m. - -,2 n.m. - Cr - n.m. 18 - n.m. - Table 2: Etch rates of the absorber and buffer etch processes Features Feature Range [nm] Proximity Correction Function (PCF) EUVL Dummy Blank ML Blank old PCF new PCF new PCF Linearity [nm] x clear dense, line 96-1 14 6 9 x clear dense, space 96-1 12 6 x dark dense, line 96-1 13 5 11 x dark dense, space 96-1 12 4 13 x dark iso, line 8-16 18 11 18 x clear iso, space 96-13 3 Table 3: Linearity comparisson for the old and new proximity correction