POWER GATING. Power-gating parameters

Similar documents
Ruixing Yang

A Survey of the Low Power Design Techniques at the Circuit Level

Leakage Power Reduction Using Power Gated Sleep Method

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

CONTROLLING STATIC POWER LEAKAGE IN 7T SRAM CELL USING POWER GATING TECHNIQUE

Low Power Design Methods: Design Flows and Kits

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

Advanced Techniques for Using ARM's Power Management Kit

DESIGN &ANALYSIS OF DUAL STACK METHOD FOR FUTURE TECHNOLOGIES

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Low Power Techniques for SoC Design: basic concepts and techniques

A Novel Low-Power Scan Design Technique Using Supply Gating

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Optimization of power in different circuits using MTCMOS Technique

Implementation of dual stack technique for reducing leakage and dynamic power

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

The challenges of low power design Karen Yorav

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Aggressive Leakage Management in ARM Based Systems

LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING

Low-Power Digital CMOS Design: A Survey

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Leakage Power Minimization in Deep-Submicron CMOS circuits

Improved DFT for Testing Power Switches

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Ultra Low Power VLSI Design: A Review

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Power Gating of the FlexCore Processor. Master of Science Thesis in Integrated Electronic System Design. Vineeth Saseendran Donatas Siaudinis

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Application and Analysis of Output Prediction Logic to a 16-bit Carry Look Ahead Adder

Lecture 11: Clocking

CHAPTER 3 NEW SLEEPY- PASS GATE

Low Power Design of Successive Approximation Registers

DESIGNING powerful and versatile computing systems is

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

Contents 1 Introduction 2 MOS Fabrication Technology

Active Decap Design Considerations for Optimal Supply Noise Reduction

Low Power Register Design with Integration Clock Gating and Power Gating

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

NanoFabrics: : Spatial Computing Using Molecular Electronics

EECS 427 Lecture 22: Low and Multiple-Vdd Design

Power And Area Optimization of Pulse Latch Shift Register

Leakage Current Analysis

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

BICMOS Technology and Fabrication

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

EC 1354-Principles of VLSI Design

UNIT-III POWER ESTIMATION AND ANALYSIS

Power Spring /7/05 L11 Power 1

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Efficient Implementation of Combinational Circuits Using PTL

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

Just-In-Time Power Gating of GasP Circuits

EE141-Spring 2007 Digital Integrated Circuits

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

Design Methodologies for Low Power VLSI Architecture

Ground Bounce Noise Reduction in 4 -Bit Multiplier Using Dual Switch Power Gating Technique

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

Performance Comparison of Various Clock Gating Techniques

Design and Application of Multimodal Power Gating Structures

Leakage Power Reduction by Using Sleep Methods

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

MTCMOS Post-Mask Performance Enhancement

LSI Design Flow Development for Advanced Technology

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2

Short-Circuit Power Reduction by Using High-Threshold Transistors

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

Design & Analysis of Low Power Full Adder

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

TRENDS in technology scaling make leakage power an

REDUCTION OF LEAKAGE CURRENT IN SIX STAGE CHARGE PUMP USING STACKING POWER GATING TECHNOLOGY

A Survey on Leakage Power Reduction Techniques by Using Power Gating Methodology

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Transcription:

POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage power of the chip. This temporary shutdown time can also call as "low power mode" or "inactive mode". When circuit blocks are required for operation once again they are activated to "active mode". These two modes are switched at the appropriate time and in the suitable manner to maximize power performance while minimizing impact to performance. Thus goal of power gating is to minimize leakage power by temporarily cutting power off to selective blocks that are not required in that mode. Power gating affects design architecture more compared to the clock gating. It increases time delays as power gated modes have to be safely entered and exited. The possible amount of leakage power saving in such low power mode and the energy dissipation to enter and exit such mode introduces some architectural trade-offs. Shutting down the blocks can be accomplished either by software or hardware. Driver software can schedule the power down operations. Hardware timers can be utilized. A dedicated power management controller is the other option. An externally switched power supply is very basic form of power gating to achieve long term leakage power reduction. To shutoff the block for small interval of time internal power gating is suitable. CMOS switches that provide power to the circuitry are controlled by power gating controllers. Output of the power gated block discharge slowly. Hence output voltage levels spend more time in threshold voltage level. This can lead to larger short circuit current. Power gating uses low-leakage PMOS transistors as header switches to shut off power supplies to parts of a design in standby or sleep mode. NMOS footer switches can also be used as sleep transistors. Inserting the sleep transistors splits the chip's power network into a permanent power network connected to the power supply and a virtual power network that drives the cells and can be turned off. The quality of this complex power network is critical to the success of a power-gating design. Two of the most critical parameters are the IR-drop and the penalties in silicon area and routing resources. Power gating can be implemented using cell- or cluster-based (or fine grain) approaches or a distributed coarse-grained approach. Power-gating parameters

Power gating implementation has additional considerations than the normal timing closure implementation. The following parameters need to be considered and their values carefully chosen for a successful implementation of this methodology [1] [2]. Power gate size: The power gate size must be selected to handle the amount of switching current at any given time. The gate must be bigger such that there is no measurable voltage (IR) drop due to the gate. Generally we use 3X the switching capacitance for the gate size as a rule of thumb. Designers can also choose between header (P-MOS) or footer (N-MOS) gate. Usually footer gates tend to be smaller in area for the same switching current. Dynamic power analysis tools can accurately measure the switching current and also predict the size for the power gate. Gate control slew rate: In power gating, this is an important parameter that determines the power gating efficiency. When the slew rate is large, it takes more time to switch off and switch-on the circuit and hence can affect the power gating efficiency. Slew rate is controlled through buffering the gate control signal. Simultaneous switching capacitance: This important constraint refers to the amount of circuit that can be switched simultaneously without affecting the power network integrity. If a large amount of the circuit is switched simultaneously, the resulting "rush current" can compromise the power network integrity. The circuit needs to be switched in stages in order to prevent this. Power gate leakage: Since power gates are made of active transistors, leakage is an important consideration to maximize power savings. Fine-grain power gating Adding a sleep transistor to every cell that is to be turned off imposes a large area penalty, and individually gating the power of every cluster of cells creates timing issues introduced by inter-cluster voltage variation that are difficult to resolve. Fine-grain power gating encapsulates the switching transistor as a part of the standard cell logic. Switching transistors are designed by either library IP vendor or standard cell designer. Usually these cell designs conform to the normal standard cell rules and can easily be handled by EDA tools for implementation.

The size of the gate control is designed with the worst case consideration that this circuit will switch during every clock cycle resulting in a huge area impact. Some of the recent designs implement the fine-grain power gating selectively, but only for the low Vt cells. If the technology allows multiple Vt libraries, the use of low Vt devices is minimum in the design (20%), so that the area impact can be reduced. When using power gates on the low Vt cells the output must be isolated if the next stage is a high Vt cell. Otherwise it can cause the neighboring high Vt cell to have leakage when output goes to an unknown state due to power gating. Gate control slew rate constraint is achieved by having a buffer distribution tree for the control signals. The buffers must be chosen from a set of always on buffers (buffers without the gate control signal) designed with high Vt cells. The inherent difference between when a cell switches off with respect to another, minimizes the rush current during switch-on and switch-off. Usually the gating transistor is designed as a high vt device. Coarse-grain power gating offers further flexibility by optimizing the power gating cells where there is low switching activity. Leakage optimization has to be done at the coarse grain level, swapping the low leakage cell for the high leakage one. Fine-grain power gating is an elegant methodology resulting in up to 10X leakage reduction. This type of power reduction makes it an appealing technique if the power reduction requirement is not satisfied by multiple Vt optimization alone. Coarse-grain power gating The coarse-grained approach implements the grid style sleep transistors which drives cells locally through shared virtual power networks. This approach is less sensitive to PVT variation, introduces less IR-drop variation, and imposes a smaller area overhead than the cell- or cluster-based implementations. In coarse-grain power gating, the power-gating transistor is a part of the power distribution network rather than the standard cell. There are two ways of implementing a coarse-grain structure: 1) Ring-based 2) column-based

Ring-based methodology: The power gates are placed around the perimeter of the module that is being switched-off as a ring. Special corner cells are used to turn the power signals around the corners. Column-based methodology: The power gates are inserted within the module with the cells abutted to each other in the form of columns. The global power is the higher layers of metal, while the switched power is in the lower layers. Gate sizing depends on the overall switching current of the module at any given time. Since only a fraction of circuits switch at any point of time, power gate sizes are smaller as compared to the fine-grain switches. Dynamic power simulation using worst case vectors can determine the worst case switching for the module and hence the size. IR drop can also be factored into the analysis. Simultaneous switching capacitance is a major consideration in coarse-grain power gating implementation. In order to limit simultaneous switching daisy chaining the gate control buffers, special counters are used to selectively turn on blocks of switches. Isolation Cells Isolation cells are used to prevent short circuit current. As the name indicates these cells isolate power gated block from the normally on block. Isolation cells are specially designed for low short circuit current when input is at threshold voltage level. Isolation control signals are provided by power gating controller. Isolation of the signals of a switchable module is essential to preserve design integrity. Usually a simple OR or AND logic can function as an output isolation device. Multiple state retention schemes are available in practice to preserve the state before a module shuts down. The simplest technique is to scan out the register values into a memory before shutting down a module. When the module wakes up, the values are scanned back from the memory. Retention Registers When power gating is used, the system needs some form of state retention, such as scanning out data to a RAM, then scanning it back in when the system is reawakened. For critical applications, the memory states must be maintained within the cell, a condition that requires a retention flop to store bits in a table. That makes it possible to restore the bits very quickly during wakeup. Retention registers are special low leakage flip-flops used to hold the data of main register of the power gated block. Thus internal state of the block

during power down mode can be retained and loaded back to it when the block is reactivated. Retention registers are always powered up. The retention strategy is design dependent. During the power gating data can be retained and transferred back to block when power gating is withdrawn. Power gating controller controls the retention mechanism such as when to save the current contents of the power gating block and when to restore it back