OPC Rectification of Random Space Patterns in 193nm Lithography

Similar documents
Reducing Proximity Effects in Optical Lithography

Optolith 2D Lithography Simulator

Experimental measurement of photoresist modulation curves

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Process Optimization

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

16nm with 193nm Immersion Lithography and Double Exposure

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Key Photolithographic Outputs

Optical Microlithography XXVIII

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

What s So Hard About Lithography?

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

In-line focus monitoring and fast determination of best focus using scatterometry

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Optical Proximity Effects, part 2

optical and photoresist effects

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Optical Proximity Effects, part 3

Using the Normalized Image Log-Slope, part 2

Purpose: Explain the top advanced issues and concepts in

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Optimizing FinFET Structures with Design-based Metrology

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Optical Proximity Effects

Managing Within Budget

Aerial image based mask defect detection in dense array structures

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Design Rules for Silicon Photonics Prototyping

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Lithographic Process Evaluation by CD-SEM

Improving registration metrology by correlation methods based on alias-free image simulation

Microlens formation using heavily dyed photoresist in a single step

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

1. INTRODUCTION ABSTRACT

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Double Exposure Using 193nm Negative Tone Photoresist

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Photolithography I ( Part 1 )

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Computational Lithography

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Depth of Focus, part 2

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Business Unit Electronic Materials

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

MICRO AND NANOPROCESSING TECHNOLOGIES

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

i- Line Photoresist Development: Replacement Evaluation of OiR

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Horizontal-Vertical (H-V) Bias

Feature-level Compensation & Control

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Major Fabrication Steps in MOS Process Flow

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Advanced Mix & Match Using a High NA i-line Scanner

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Synthesis of projection lithography for low k1 via interferometry

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Evaluation of Technology Options by Lithography Simulation

Linewidth control by overexposure in laser lithography

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Part 5-1: Lithography

IMPACT Lithography/DfM Roundtable

Feature-level Compensation & Control

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Transcription:

OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences University of California- Berkeley Berkeley, CA 9472 Email: mosong@eecs.berkeley.edu Tel: (51) 642-8897 Fax: (51) 642-2739 * Texas Instruments 13536 N. Central Expressway Dallas, Texas 75265 ABSTRACT This paper presents a methodology for modeling the space printability at the gate level in 193nm lithography. Spaces are shown to be more susceptible to process variations and lens aberrations than lines are. Experimental Scanning Electron Microscopy (SEM) pictures show that the scum and bridging effects can occur in spaces although all the line critical dimensions (CDs) are on target. A resist imaging model is used to simulate the line CDs through defocus, pitch and size, and the prediction error is within 5nm. However, this model can not reasonably predict space CDs without using variable threshold, which is explained a proposed trajectory dissolution rate model. Based on the dissolution model, a process rule checker is proposed which inspects the peak light intensity in a space and compares it with a given threshold. This condition is verified experimentally. Key words: ArF lithography, model, space, process rule check, dissolution, variable threshold model 1. INTRODUCTION As device dimension shrinks to sub-1nm region, optical proximity correction (OPC) technique becomes more and more critical in mask design. In gate level, line critical dimension (CD) control is the first priority and therefore OPC models for gate layer mean calibrating chrome CDs across pitches on mask to print correct resist line CDs on wafer. Spaces are not treated as main structures since their CD does not need to be tightly controlled [3]. The requirement for printing spaces is to fully open them, i.e., there is no scum or bridging in spaces after resist development. The process parameters, such as dose, defocus, post exposure bake (PEB) time and temperature and so on, are optimized for printing lines, while the optimal parameters for printing spaces are different from lines. Therefore the process latitude for printing spaces can be much smaller than for lines. Furthermore, current OPC models are only calibrated at one defocus and one dose exposure setup. Thus the resulted mask layout may be susceptible to process variations, including exposure dose deviation, non-flat substrate topography, resist PEB/develop condition change, etc. Compared with lines, spaces are more sensitive to these variations. As a result, scum and/or bridging can occur in spaces unexpectedly. Fig. 1 compares the printability of lines and spaces. When the defocus varied from.1µm to.3µm, the line CD was always on target, while scum and bridging occurred in the space. This failure in printing space can not be predicted by the OPC model used to correct the mask layout. Fig. 2 shows the simulated pattern generated by the OPC model. It can be seen that the space is predicted to be clearly open, contradicting to the SEM in Fig. 1. Since it is infeasible to calibrate an OPC model through dose and defocus and verify it for all kinds of random patterns [4], it is desired to develop a set of process rules to ensure the space printability [5]. In Section 2, a simulation model is developed and compared with conventional aerial-image-based OPC models. This model is further used to simulate 2D patterns and find out that the spaces and lines are printed differently in low contrast areas. Then in Section 3, a trajectory dissolution rate model is presented to address the low contrast issue in space printing, and a process rule is proposed to ensure space printability. All the experiments were done at Texas Instruments with a 193nm scanner, NA.6, σ.75. The resist Sumitomo PAR 71 and bottom anti-reflective coating (BARC) AZ-2 were used, the resist and BARC thicknesses are 35 and 82nm, respectively, after soft bake.

2. SIMULATION MODEL The detailed discussion of the simulation model is presented in [1][2]. By using SPLAT, this model is to simulate the light intensity at the bottom of resist film and then calculate the corresponding photoacid concentration using Equation (1): C a = 1 exp( C. Dose. I ) (1) Where C a is the photoacid concentration, C is the Dill s C parameter, Dose is the exposure dose in mj/cm 2 and I is the light intensity normalized by the light intensity of large area exposure. Then the resist patterns after develop are obtained by taking a threshold photoacid concentration, i.e., the resist is considered to be developed where C a is above a given threshold. There are two unknown parameters in this model, the location of the focal plane and the threshold photoacid concentration [1]. They are obtained by minimizing the root mean square (RMS) error of the simulated CD. By including lens aberrations and real mask CD in the simulation, this model can predict line CD through focus, through pitch and through feature size with RMS error of 5nm [1]. Fig. 3 compares the simulated and experimental line CD variation with defocus. With the parameters calibrated on experimental line CDs, this model is used to simulate the 2D random pattern shown in Fig. 1. Fig. 4 compares the simulated images with SEM pictures. It can be seen that the simulation agrees very well with the SEM along the line edges. However, the simulation does not predict the scum and bridging, either. To correctly simulate the appearance of scum and bridging, a much higher threshold photoacid concentration is used to generate the outer contours shown in Fig. 4. This indicates that the space can not be correctly predicted without using a variable threshold resist (VTR) model. A detailed discussion on VTR models can be found in [7]. 3. VARIABLE THRESHOLD MODEL BASED ON TRAJECTORY DISSOLUTION Ref [1] proposed a trajectory dissolution model to explain the difference in threshold photoacid concentrations used for simulating lines and spaces. The neighborhood of lines has very high dissolution rate and thus is dissolved instantly at the beginning of the development. Then the sidewalls of lines are attacked by developer. In contrast, the neighbor of spaces is dark and the dissolution rate is relatively low. Thus it takes more time to open the space and leaves less time for the developer to attack the resist sidewalls. As a consequence, the space is developed for less time and will show more roughness and scum than the line edges do [6]. Fig. 5 plots the light intensity across the lined and spaces. To correctly predict line and space CD, two different thresholds of photoacid concentration are used in Fig. 5. An analytical formula to calculate the threshold photoacid concentration according to the peak and slope of light intensity is presented in [1]. In OPC applications, it is not necessary to control space CD. Instead, a process rule checker is needed to ensure current OPC models work properly on spaces. The trajectory dissolution model indicates that the resist patterns can be predicted using the threshold for lines if the peak light intensity is above a certain value, because that makes the developer instantly dissolve the resist where the peak light intensity is. Fig. 6 plots the CD of spaces with different widths. At all the four doses (15.5 17mJ/cm 2 ), the 15 and 165nm spaces have scum while the 17nm space is clean. This indicates that the threshold peak light intensity is between the peak light intensities of 165 and 17nm spaces. Fig. 7 plots the aerial image intensity across the 165 and 17nm spaces. It can be seen that the peak image intensity of 17nm space is above.6 while that of 165nm space is below.6. So.6 is taken as a threshold image intensity. If the peak image intensity across a space is above.6, then the OPC models calibrated on line CDs are also applicable to this space. In other word, the space will be printed without scum or bridging. This peak image intensity condition is verified by Fig. 8. In Fig. 8(a), there is severe scum and bridging effects due to narrow space (165nm) and line-end gaps (13nm). In Fig. 8(b), the scum and bridging effects are cleaned by using wider space (17nm) and line-end gaps (15nm), which satisfy the peak light intensity condition given above. 4. CONCLUSION This paper proposes a process rule checker to ensure the space printability at the gate level in 193nm lithography. In the gate printing process, the processing parameters are optimized for line CD control. Moreover, the space pattern transfer is more sensitive to lens aberrations and process variations due to the intrinsic low contrast in spaces. Therefore the process latitude for spaces is much smaller than for lines and unexpected scum and bridging occurred in spaces narrower than 17nm, which was not predicted by OPC models used to correct the mask layout. Using the model

proposed in [1] to simulate the light intensity at the bottom of the resist, the line CDs can be predicted within 5nm error over large range of defocus, pitch and size. However, this mode is not adequate in predicting the occurrence of scum or bridging, either. A higher light intensity threshold is needed to predict space CD, which is explained by a proposed trajectory dissolution model. Based on the dissolution model, a process rule checker is developed, which calculates the peak aerial image intensity across a space. If the peak intensity is above.6, the space CD can be correctly predicted by the resist imaging model or OPC models. Therefore the space will not have scum or bridging. The occurrence of scum and bridging is believed to be due to the sub-threshold peak light intensity. This condition was verified experimentally. However, the condition of peak light intensity across a space should be adjusted according to the process. It can be taken as the peak light intensity in the narrowest printable dense space. ACKNOWLEDGEMENT The lithography research was supported by Texas Instruments and the State of California under the SMART program SM97-1. REFERENCE [1] M. Cheng and A. Neureuther, Effects of Residual Aberrations on Line-end Shortening in 193 nm Lithography, Proc. of SPIE, Vol. 4691, 22 [2] M. Cheng and A. Neureuther, ArF imaging modeling by using resist simulation and pattern matching, Proc. of SPIE, Optical Microlithography XIV, 21 [3] Keeho Kim, private communications [4] Qizhi He; Mi-Chang Chang; Palmer, S.; Sadra, K., OPC methodology and implementation to prototyping of small SRAM cells of.18 µm node logic gate levels, Proc. of the SPIE vol.4, pp 9-8 [5] Maurer, W.; Dolainsky, C.; Thiele, J.; Friedrich, C.; Karakatsanis, P. Process proximity correction using an automated software tool, Proceedings of the SPIE vol. 3334, (1998), pp 245-53 [6] C. A. Mack, New Kinetic Model for Resist Dissolution, J. Electrochemical Soc., Vol. 139, No. 4, pp. 135-137 [7] Yuri Granik et al, Universal process modeling with VTRE for OPC, Proc. SPIE, vol. 4691, 22

(a) (b) (c) (d) (e) (f) Fig. 1 Dense lines vs. random spaces. The exposure dose is 16.5mJ/cm 2. From (a) to (c) are 14nm lines, from (d) to (f) are 165nm spaces. From left to right, the defocus are.3, -.2 and.1µm. Note that the random space has much smaller process latitude than the dense line dose. Fig. 2 overlay of the simulated pattern with the mask layout. The black curves are the simulated contours. The simulation shows that the lines are on target and the spaces are clean

Experiment CD vs. Defocus, 35nm Pitch Experiment CD vs. Defocus, 175nm Pitch 16 16 14 14 12 12 Line Width (nm) 1 8 6 1nm 4 12nm 2 13nm 14nm -.3 -.2 -.1.1.2.3 Defocus (um) Line Width (nm) 1 8 6 1nm 4 12nm 2 13nm 14nm -.3 -.2 -.1.1.2.3 Defocus (um) (a) (b) Simulated CD vs. Defocus, 35nm Pitch Simulated CD vs. Defocus, 175nm Pitch 16 16 14 14 12 12 Line Width (nm) 1 8 1nm 6 12nm 4 13nm 2 14nm -.3 -.2 -.1.1.2.3 Defocus (um) Line Width (nm) 1 8 6 1nm 4 12nm 2 13nm 14nm -.3 -.2 -.1.1.2.3 Defocus (um ) (c) (d) Fig. 3 Experimental and simulated line width vs. defocus at 35nm and 175nm pitch, lens aberrations included. Here (a) and (b) are experimental CDs at 35 and 175nm pitch, respectively, (c) and (d) are corresponding simulated CDs. Note that the 1nm line was not printed at the defocuses of.2 and.1µm possibly due to process variation.

(a) (b) (c) Fig. 4 Comparison of SEM pictures with simulated images. (a) is the SEM picture at the defocus.1mm, (b) is defocus.3mm and (c) is +.1mm. In each picture, two contours are generated, the inner contour is generated the resist image model whose parameters are calibrated on line CDs. The outer contour is generated with a higher threshold photoacid concentration to correct the simulation in low contrast areas.

Space threshold Fig. 5 light intensity across the lines and spaces. In the legend, Gap refers to the long space shown in Fig. 1. The correct threshold for predicting space CD is higher than for line. 16 14 12 Wafer CD(nm) 1 8 6 15.5mJ 4 16mJ 2 16.5mJ 17mJ 145 15 155 16 165 17 175 Space CD(nm) Fig. 6 wafer CD vs. mask CD, defocus -.1µm. Three spaces, 15nm, 165nm and 17nm, are plotted. The legend refers to dose. The data points in the green circle correspond to those spaces in which there is scum

.7.6.5 16nm 17nm Light Intensity.4.3.2.1 5 1 15 2 25 3 35 Cross Section (nm) Fig. 7 Aerial image intensity across 165 and 17nm space shown in Fig. 1 (a) (b) Fig. 8 Comparison of random space patterns printed at 16.5mJ/cm 2, best focus. In (a), the design space is 165nm, note the scum and bridging effects. In (b), the design space is 17nm, and the spaces between line ends are corrected accordingly.