Using the Normalized Image Log-Slope, part 2

Similar documents
More on the Mask Error Enhancement Factor

Optical Proximity Effects

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening, part 2

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Depth of Focus, part 2

Optical Proximity Effects, part 2

Reducing Proximity Effects in Optical Lithography

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Key Photolithographic Outputs

The Formation of an Aerial Image, part 3

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

The Formation of an Aerial Image, part 2

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Horizontal-Vertical (H-V) Bias

Optical Proximity Effects, part 3

optical and photoresist effects

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

What s So Hard About Lithography?

Synthesis of projection lithography for low k1 via interferometry

Experimental measurement of photoresist modulation curves

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Depth of Focus and the Alternating Phase Shift Mask

Linewidth control by overexposure in laser lithography

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Understanding focus effects in submicrometer optical lithography: a review

Optolith 2D Lithography Simulator

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Double Exposure Using 193nm Negative Tone Photoresist

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Flare compensation in EUV lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Mirror-based pattern generation for maskless lithography

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

OPC Rectification of Random Space Patterns in 193nm Lithography

Exhibit 2 Declaration of Dr. Chris Mack

In-line focus monitoring and fast determination of best focus using scatterometry

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Process Optimization

16nm with 193nm Immersion Lithography and Double Exposure

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Phase Contrast Lithography

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Topography effects and wave aberrations in advanced PSM-technology

EE-527: MicroFabrication

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Exposure Dose Optimization for a Positive Resist Containing. Poly-functional Photoactive Compound. Chris A. Mack Shipley Company

Resolution Enhancement Technologies

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Exponential and Logarithmic Functions. Copyright Cengage Learning. All rights reserved.

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Lithographic Process Evaluation by CD-SEM

DOE Project: Resist Characterization

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

(Ar [ Si O Si O] m )n

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Optimization of the Spatial Properties of Illumination for Improved Lithographic Response

MICROCHIP MANUFACTURING by S. Wolf

OPC Scatterbars or Assist Features

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Contrast Enhancement Materials CEM 365HR

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Optical design of a high resolution vision lens

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Contrast Enhancement Materials CEM 365iS

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

EUV Substrate and Blank Inspection

Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters

CHAPTER 6 SIGNAL PROCESSING TECHNIQUES TO IMPROVE PRECISION OF SPECTRAL FIT ALGORITHM

Optimizing FinFET Structures with Design-based Metrology

MICRO AND NANOPROCESSING TECHNOLOGIES

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

BASLER A601f / A602f

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Signal-to-Noise Ratio (SNR) discussion

Development of a Sub-100nm Integrated Imaging System Using Chromeless Phase-Shifting Imaging with Very High NA KrF Exposure and Off-axis Illumination

Feature-level Compensation & Control

Imec pushes the limits of EUV lithography single exposure for future logic and memory

MIMS Workshop F. Hillion. MIMS Workshop

Experiment 2: Transients and Oscillations in RLC Circuits

ECEN 4606, UNDERGRADUATE OPTICS LAB

Modeling of EUV photoresists with a resist point spread function

Experiment 9 Bipolar Junction Transistor Characteristics

Transcription:

T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column, the normalized image log-slope () is the best single metric to judge the lithographic usefulness of an aerial image. A high describes an aerial image with a steep transition from bright to dark, providing good edge definition. can be used as a numeric quality metric, judging the impact of any lithographic parameter on image quality. A simple and extremely useful example of this is a plot of the impact of defocus on image quality in what is called the log-slope defocus curve. To see how the log-slope defocus curve can be used to understand imaging, consider the effects of wavelength and numerical aperture on the focus behavior of an aerial image. Figure a shows how the of a.µm line/space pattern degrades with defocus for three different wavelengths (36nm, 48nm, and 93nm). It is clear from the plot that the lower wavelength provides better image quality for the useful range of defocus. For a given minimum acceptable value of, the lower wavelength will allow acceptable performance over a wider range of focus. One could conclude that, for a given feature being imaged, the lower wavelength provides better in-focus performance and better depth of focus. The impact of numerical aperture (NA) is a bit more complicated, as evidenced in Figure b. Here, the log-slope defocus curves for three different numerical apertures (again, for a.µm line/space pattern) cross each other. If one picks some minimum acceptable value, there will be an optimum NA which gives the maximum depth of focus (for example, a minimum value of. has the best depth of focus when NA =.6). Using a numerical aperture above or below this optimum reduces the depth of focus. values are easy and fast to calculate and provide a simple yet valuable metric of image quality. As an example of using this metric, the log-slope defocus curve is one of the easiest ways to quantify the impact of defocus on image quality. By using this tool, we have quickly arrived at two fundamental imaging relationships: when imaging a given mask pattern, ) lower wavelengths give better depth of focus, and ) there is an optimum numerical aperture that maximizes the depth of focus. But to make the best use of the as an image metric, one must relate the numerical value to lithographically measurable quantities. How does one determine the minimum acceptable? If the is increased from. to., what is the lithographic impact? More fundamentally, why is a good image metric?

The answers to these questions lie with the fact that is directly related to the printed feature s exposure latitude. Exposure latitude describes the change in resulting linewidth for a given change in exposure dose. Mathematically, it can be expressed as the slope of a critical dimension (CD) versus exposure dose (E) curve, CD/ E. For the simplifying case of a perfect, infinite contrast photoresist the exposure latitude can be related to by ln E w CD = () where w is the nominal feature width. To put this in more familiar terms, if we define exposure latitude to be the range of exposure, as a percentage of the nominal exposure dose, that keeps the resulting feature width within ±% of the nominal size, then exposure latitude can be approximately related to by % Exposure Latitude * () (the approximation coming from the assumptions that is constant over the ±% CD range). Thus, in a perfect world (i.e., a perfect photoresist), the impact of can be easily related to a lithographically useful metric: each unit increase in give us % more exposure latitude. Unfortunately, the real world is not so perfect and infinite contrast photoresists have yet to enter the commercial market. The real impact of on exposure latitude is somewhat reduced from the above ideal. In general, equation () can be modified to account for the non-ideal nature of photoresists as % Exposure Latitude α ( β ) (3) where α and β are empirically determined constants and α has an upper limit of and β has a lower limit of. β can be interpreted as the minimum required to get an acceptable image in photoresist to appear. α then is the added exposure latitude for each unit increase in above the lower limit β. The values of α and β can be determined by comparing a calculated versus defocus curve to experimentally measured exposure latitude versus defocus data. Figure shows a simulation of such an experiment for a very typical case. Once calibrated, a minimum acceptable exposure latitude specification (say, %) can be translated directly into a minimum acceptable value (in this case,.). Since α and β are resist and process dependent, the minimum acceptable must be also. And of course, the requirements for the minimum acceptable exposure latitude will impact the required directly. Thus, either using equation () for the ideal case, or equation (3) for a calibrated resist case, a quantitative valuation of the importance of can readily be made.

7 6 36 nm 48 nm 93 nm 4 3..4.6.8 Defocus (microns) (a)

6 4 NA =. NA =.6 NA =.7 3..4.6.8 Defocus (microns) (b) Figure. Using the log-slope defocus curve to study lithography: (a) lower wavelengths give better depth of focus (NA =.6, σ =., nm lines and spaces), and (b) there is an optimum NA for maximizing depth of focus (λ = 48nm, σ =., nm lines and spaces).

4 Exposure Latitude (%) 3 3 %EL = 8.9( -.) 3 4 Figure. Typical correlation between and simulated exposure latitude data (λ = 48nm, NA =.6, σ =., nm of UV6 on ARC on silicon, printing nm lines and spaces through focus).