Legacy & Leading Edge Both are Winners

Similar documents
Human Generations Driving Semiconductor Materials Demand. Lita Shon-Roy President / CEO Semicon Europa October

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

IMPACT OF 450MM ON CMP

21 st Annual Needham Growth Conference

The Development of the Semiconductor CVD and ALD Requirement

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

CMP for More Than Moore

FinFET vs. FD-SOI Key Advantages & Disadvantages

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

Chapter 15 Summary and Future Trends

David B. Miller Vice President & General Manager September 28, 2005

SEMI Connects: An Overview of SEMI Worldwide. Theresia Fasinski - Manager Membership Relations, SEMI Europe

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

CMP for Advanced Packaging

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Wafer-Edge Challenges

IMI Labs Semiconductor Applications. June 20, 2016

Market Forecasts for Silicon Carbide & Gallium Nitride Power Semiconductors. Richard Eden Senior Analyst IMS Research (an IHS company)

Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts ( ) August 2018

Enabling Semiconductor Innovation and Growth

HOW TO CONTINUE COST SCALING. Hans Lebon

Fabricating 2.5D, 3D, 5.5D Devices

Update: SOI Wafer Market Continues Its Growth

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

CLSA Investors Forum 2017

Recent Trends in Semiconductor IC Device Manufacturing

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Innovation to Advance Moore s Law Requires Core Technology Revolution

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

EUV Supporting Moore s Law

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

The SEMATECH Model: Potential Applications to PV

W ith development risk fully borne by the equipment industry and a two-year delay in the main

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

Design, Characteristics and Performance of Diamond Pad Conditioners

RF MEMS To Enhance Telecommunications 1/23

Lecture 0: Introduction

Thermal Management in the 3D-SiP World of the Future

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Fault Diagnosis Algorithms Part 2

ISMI Industry Productivity Driver

Application-Based Opportunities for Reused Fab Lines

OUCH THE WORLD S FASTEST GROWING SEMICONDUCTOR MARKET

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Samsung K4H510838C-UCCC 512Mbit DDR SDRAM Structural Analysis

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

State-of-the-art device fabrication techniques

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Next Generation Haptics: Market Analysis and Forecasts

MEMS Technology Roadmapping

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Real time plasma etch control by means of physical plasma parameters with HERCULES

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Technological Challenges in Semiconductor Lithography

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Newer process technology (since 1999) includes :

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

How material engineering contributes to delivering innovation in the hyper connected world

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

FinFET Devices and Technologies

Post-CMP Clean PVA Brush Advancements and Characterization in Cu/Low-K Application

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

ACCELERATING THE FUTURE OF SEMICONDUCTORS

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Enabling Breakthroughs In Technology

Advanced Packaging Solutions

The future of lithography and its impact on design

Samsung K9HAG08U1M-PCB0 16 Gbit MLC NAND Flash Structural Analysis Report

GSEF 2019 Advisory Board

nvidia GeForce FX 5700 Ultra (NV36) Graphics Processor Structural Analysis

It s Time for 300mm Prime

Envisioning the Future of Optoelectronic Interconnects:

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

Lithography Industry Collaborations

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process

Enabling concepts: Packaging Technologies

Advanced Materials Research Center and University Research. Alex Oscilowski Vice President-Strategy SEMATECH

Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching

Photolithography I ( Part 1 )

Transcription:

Legacy & Leading Edge Both are Winners Semicon CMP User Group July 16, 2015 Sue Davis 408-833-5905 CMP Team Contributors: Mike Fury, Ph.D. Karey Holland, Ph.D. Jerry Yang, Ph.D. www.techcet.com 1

Outline Semiconductor Outlook 2015 CMP Research Themes CMP Market Wrap Up www.techcet.com 2

Semiconductor Outlook Innovation is Alive & Well New York Times, IBM Research www.techcet.com 3

Semiconductor Outlook Mobile Market Continues to Evolve Mobile - Key IC growth driver Emerging Markets driving smart phone market Mobile July 2015 Mobile forecast (units shipped): All mobile up 1.5% Smartphones up 3.3% Ultramobiles down 5.3% Tablets decrease 5.9% PC s down >5% SSD market will continue to grow Key driver cloud computing Key challenge cost Source: Gartner www.techcet.com 4

Thousands of Units 180,000 SSD Forecast 160,000 140,000 120,000 100,000 80,000 60,000 CAGR Enterprise 31% Client 22% Industrial 15% 40,000 20,000 0 2014 2015 2016 2017 2018 2019 2015 Source: Techcet IHS CA, LLC www.techcet.com 5

Semiconductor Outlook Demand Driven by a Few Customers Device Manufacturers Customers Equipment Suppliers Materials Suppliers www.techcet.com 6

Requirements for the Semiconductor Industry Lower Cost Channel Lower Power Higher Performance SemiWiki Form Factor www.techcet.com 7

Themes: 2015 CMP Report Research Leading Edge Devices New CMP processes 3D transistor: Al and W for High k Gate Electrodes (new materials, removal rate, defectivity, selectivity) 3D NAND: Defectivity for STI, PolySi, W 3D Packaging: High RR Cu slurry for TSV Tailored Consumables (HkMG polymer coated alumina particles; tunable pads for hardness & porosity) Collaboration Across the Supply Chain will continue Key Metrics: Defectivity, Planarization Efficiency, Polish selectivity, Cost Legacy Devices CIP programs targeted to reduce cost & improve throughput Impact of the IoT? www.techcet.com 8

www.techcet.com 9

Growing Number of Process Steps Source: IC Insights, KLA-Tencor www.techcet.com 10

Changes to Shrink Logic & RAM 65-45nm 28/20nm Planar 22/20/16/14nm FinFET STI & CMP Strained Si Gate STI & CMP Strained Si Gate 2 STI for Fin Isolation Co, Ni, W Gate Electrodes HkMG HfO 2 ALD TiN & Al Gate HkMG HfO 2 ALD TiN TiAl W Gate W Contact Cu wiring 8-10 (layers) W Contact Cu Wiring 9-11 (layers) 2 W Contact Cu wiring (12-14 layers) Low k dielectric (SiOF, SiOC) Low k & ULK dielectrics Co barrier, Ta @ larger Cu (12-14 layers) # metal levels 193nm Litho Low k & porous low k Multi Pattern Litho www.techcet.com 11

CMP Process Layers www.techcet.com 12

www.techcet.com 13

Wafer Starts / Year (Millions) Wafer Starts per Year (200mm equivalent) 300 250 200 150 100 50 0 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 7nm Logic 7nm RAM 3D NAND G2 10-11nm Logic 10-11nm RAM 3D NAND G1 14-16nm RAM 1x-z NV 22, 14/16nm Logic 2x-z NV 22-20nm RAM 32, 28, 20nm Logic 32-28nm RAM 45nm Logic 65/45 nm RAM 65 nm Logic 90 nm Logic 130 nm Logic 180-150 nm L >180nm www.techcet.com 14

www.techcet.com 15

IoT Perception: Industry Executives McKinsey GSA Alliance IoT Implications Survey 30 Sr executives across IoT ecosystem interviewed 229 semiconductor executives interviewed Survey Results Some ambiguity if IoT will be a key growth driver 48% interviewed stated IoT would be a top 3 growth driver for the industry; with 17% ranking it as #1 Cloud, software, security & systems integration viewed as best opportunities www.techcet.com 16

IoT Process Node Breadth ARM ARM www.techcet.com 17

Semiconductor Opportunity IoT Permission granted by Gartner 2015 Techcet www.techcet.com 18

MEMs Total Units Forecast 43.4 B units 12.4 B other Oscillator RF MEMS Actuator Humidity Temperature Proximity Pressure Microphone Gyroscope Magnetometer Accelerometer 2012 2013 2014 2015 2016 2017 2018 Source: Semico Research Corp www.techcet.com 19

Changes to Shrink Logic & RAM > 180nm 180nm 130nm 90nm STI & CMP STI & CMP STI & CMP STI & CMP Strained Silicon Gate PolySi & silicides Gate Electrodes Co, Ni, W Gate Electrodes Co, Ni, W Gate Electrodes Co, Ni, W Gate Electrodes W Plugs/Al wiring (4-6 layers) W Plugs/Al Wiring (4-6 layers) W Contact Cu wiring (6-8 layers) W Contact Cu wiring (6-8 layers) TEOS TEOS Low k dielectric Low k dielectric (SiOF) 248nm litho 248nm litho Phase Shift Litho Phase Shift Litho # metal levels 300 mm wafers www.techcet.com 20

www.techcet.com 21

Normalized Pad & Slurry Revenue 3 Pad 5 year CAGR 5.3% Slurry 5 year CAGR 5.6% 2.5 2 1.5 Pads Slurries 1 0.5 0 2013 2014 2015 2016 2017 2018 2019 2020 www.techcet.com 22

% Slurry Revenue CMP Slurry Revenue 200mm & 300mm 100% 200mm ~ 300mm 80% 60% 40% 300mm 200mm 20% 0% 2013 2014 2015 2016 2017 2018 2019 2020 www.techcet.com 23

% Revenue Pad Revenue 200mm & 300mm 100% 200mm~300mm 80% 60% 40% 200mm 200mm 20% 0% 2013 2014 2015 2016 2017 2018 2019 2020 www.techcet.com 24

Combined Pad & Slurry Revenue (US4M) Pad and Slurry Revenue by Application $3,000 $2,500 See 2015 CMP Report $2,000 $1,500 $1,000 $500 Cu Barrier Cu Step 1 Tungsten Oxide HkMG Oxide HkMG Electrode S-STI $- 2013 2014 2015 2016 2017 2018 2019 2020 www.techcet.com 25

2015 CMP Consumables Market Shares Total TAM $2.24B PVA Brushes, Conditioners, $48M $205M Slurry Filters, $43M Pads, $685M Slurry, $1,260M Slurry Pads Conditioners PVA Brushes Slurry Filters www.techcet.com 26

CMP Supply Chain Impacted by IoT Significant extreme pricing pressure Legacy slurries are being used and will grow very slightly (STI/oxide/W/Cu) Competition in both pad and slurry market Crowded ceria slurry market CIP programs continue; changes to POR when CoO/tpt gain > cost of requalification Supply chain capacity well positioned www.techcet.com 27

Techcet Materials Coverage includes: ALD Hi K Precursors CMP Consumables Dielectric Precursors 3D/TSV Packaging Gases Graphites Photoresist & Ancillaries Quartz Silicon Carbide Silicon Wafers Sputtering Targets Si Equipment Components Wafer Level Packaging (WLP) Polymers Wet Chemicals www.techcet.com 28

Techcet Group Lita Shon-Roy President / CEO Rasirc/Matheson Gas, IPEC/Athens, Air Products, Rockwell/Brooktree Karey Holland, Ph.D. Chief Technical Officer FEI, NexPlanar, IPEC, Motorola, IBM Sue Davis Director of Business Development & Sr. Analyst TI, Sematech, Motorola, Rodel (DOW) Mike Fury, Ph.D. Sr. Technology Analyst IBM, Rodel, EKC, Vantage Jerry Yang, Ph.D. Sr. Technology Analyst Rohm & Hass Electronic Materials, Rodel, SpeedFam/IPEC, Lam Research Bruce Adams Sr. Technology Analyst Matheson Gas, Air Products, & Chemicals, Honeywell Yu Bibby, Ph. D. Sr. Technology Analyst UV Global, ipcapital Group, Wilkes University Jiro Hanaue Sr. Technology Analyst Applied Materials Chris Blatt Sr. Market Analyst Air Products, IPEC/Athens, Zeon Chemicals www.techcet.com www.techcet.com John Housely, Ph.D. Advisor 29

Techcet s 2015 CMP Critical Materials Report Available as Full Report or by Individual Consumable 11 Sections 7 Markets Segments >170 Supplier Profiles Process Flows For additional informational or to purchase CMPinfo@techcet.com 480-336-2160 orders 480-275-3101 fax orders www.techcet.com www.techcet.com 30

THANK YOU! 2015 Techcet 31