A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

Similar documents
A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

A Low-Noise Phase-Locked Loop Design by Loop Bandwidth Optimization

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

Introduction to CMOS RF Integrated Circuits Design

Integrated Circuit Design for High-Speed Frequency Synthesis

INF4420 Phase locked loops

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline

THE serial advanced technology attachment (SATA) is becoming

/$ IEEE

THE SELF-BIAS PLL IN STANDARD CMOS

A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

This chapter discusses the design issues related to the CDR architectures. The

Low Power, Wide Bandwidth Phase Locked Loop Design

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

Low Power Phase Locked Loop Design with Minimum Jitter

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

ECEN620: Network Theory Broadband Circuit Design Fall 2014

INF4420 Switched capacitor circuits Outline

Section 1. Fundamentals of DDS Technology

High-speed Serial Interface

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17

2. ADC Architectures and CMOS Circuits

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen

Flying-Adder Frequency and Phase Synthesis Architecture

PHASELOCK TECHNIQUES INTERSCIENCE. Third Edition. FLOYD M. GARDNER Consulting Engineer Palo Alto, California A JOHN WILEY & SONS, INC.

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

Phase-Locked Loop Engineering Handbook for Integrated Circuits

Advanced Operational Amplifiers

Other Effects in PLLs. Behzad Razavi Electrical Engineering Department University of California, Los Angeles

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

ECEN620: Network Theory Broadband Circuit Design Fall 2014

A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology

High Performance Digital Fractional-N Frequency Synthesizers

9-Bit, 30 MSPS ADC AD9049 REV. 0. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM

Lecture 11. Phase Locked Loop (PLL): Appendix C. EE4900/EE6720 Digital Communications

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

An Analog Phase-Locked Loop

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals

OBSOLETE FUNCTIONAL BLOCK DIAGRAM V DD 1 V DD 1 V P 2 V P 11-BIT IF B-COUNTER 6-BIT IF A-COUNTER 14-BIT IF R-COUNTER 14-BIT IF R-COUNTER

Noise Analysis of Phase Locked Loops

Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

ECEN620: Network Theory Broadband Circuit Design Fall 2012

PI6CX201A. 25MHz Jitter Attenuator. Features

Dual RF/IF PLL Frequency Synthesizers ADF4210/ADF4211/ADF4212/ADF4213

Gert Veale / Christo Nel Grintek Ewation

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Multiple Reference Clock Generator

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator

24-bit Step Size, Resolution 3 Hz typ Exact Frequency Mode Built-in Digital Self Test 40 Lead 6x6mm SMT Package: 36mm 2. Phased Array Applications

Integer-N Clock Translator for Wireline Communications AD9550

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

Enhancing FPGA-based Systems with Programmable Oscillators

ECEN720: High-Speed Links Circuits and Systems Spring 2017

American International Journal of Research in Science, Technology, Engineering & Mathematics

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability

10-Bit, 40 MSPS/60 MSPS A/D Converter AD9050 REV. B. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM

A 5Gbit/s CMOS Clock and Data Recovery Circuit

SiNANO-NEREID Workshop:

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

24-bit Step Size, Resolution 3 Hz typ Exact Frequency Mode Built-in Digital Self Test 40 Lead 6x6mm SMT Package: 36mm 2. Phased Array Applications

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

ICS PLL BUILDING BLOCK

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

CMOS High Speed A/D Converter Architectures

24-bit Step Size, Resolution 3 Hz typ Exact Frequency Mode Built-in Digital Self Test 40 Lead 6x6 mm SMT Package: 36 mm 2. Phased Array Applications

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

MAX2769/MAX2769C PLL Loop Filter Calculator User Guide UG6444; Rev 0; 6/17

A low noise clock generator for high-resolution time-to-digital convertors

Design Challenges In Multi-GHz PLL Frequency Synthesizers

Tutorial: Quartz Crystal Oscillators & Phase- Locked Loops

Low-Power Low-Jitter On-Chip Clock Generation

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

Analog to Digital Conversion

Choosing Loop Bandwidth for PLLs

PHASE LOCKED LOOP DESIGN

Transcription:

A Compact, Low-Power Low- Jitter Digital PLL Amr Fahim Qualcomm, Inc. 1

Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL Circuit Implementation Measurement Results Conclusions 2

Introduction & Motivation I REF TCXO PFD I P K v2i K ico /s F out R I P C /N 3

Introduction & Motivation Classical 2 nd order analysis: H ( s) where: K I KRs + K C = s 2 + Rs + p K N K v2i K K NC ico Amplitude (db) ω n = K NC frequency (Hz) ζ = 1 2 R K NC 4

Introduction & Motivation Sensitivity Analysis: Assumptions: I p = ± 20%, R= ± 20%, C=±10% Loop Dynamics Variation: ζ [-41%, +59%] ω n [-48%, +33%] Effect on Lock Time: Assumption: cycle slipping time is minimal T L [-25%, +92%] Effect on phase noise: Mostly due to BW variation Neglecting 1/f noise Jitter variation is 25% 5

Introduction & Motivation TCXO Noise coupling to loop filter: I P I P Noise injected /N F out Reduce effect of noise coupling on jitter by: Reducing K v Increasing guard ring spacing Expected to worsen as processor complexity grows 6

Alternative Paradigm Digitize Loop Filter Less analog circuitry faster design time Less susceptible to ground bounces Better stability over process, temperature, and voltage LOOP FILTER [ F(z) ] I P Fin PFD & logic INC DEC SHIFT K I I P logic K R /N 1/N pllout ICO idac 7

Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL Circuit Implementation Measurement Results Conclusions 8

Digital PLL Architecture Can classify DPLL architecture by method by which phase & frequency error is determined Method A: Direct phase error digitization Method B: Frequency comparison & Reset 9

Digital PLL Architecture Method A: Direct phase error quantization: REF PD FD sampler REF shifter idac Count number of VCO cycles per reference cycle Max phase error is: T θ, max = REF N 1 θ, max REF N Inherent trade-off between quantization jitter and ICO jitter = T T VCO N 1 10

Digital PLL Architecture Method B: Frequency compare & reset REF /2 sampler φ 2 CNT φ 2 shifter idac Avoid quantization jitter bottleneck by using frequency locked loop and reset VCO every compare period Quantization jitter reduced to how well can estimate frequency and how fast can reset VCO Requiring VCO to be reset can limit upper frequency and VCO topology 11

Digital PLL Architecture Method B: Frequency compare & reset REF /2 sampler φ 2 CNT shifter φ 2 idac REF φ 1 φ 2 VCO 12

Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL Circuit Implementation Measurement Results Conclusions 13

Proposed DPLL Architecture Fin PFD & logic 1/N INC DEC SHIFT logic ICO pllout LOOP FILTER [ F(z) ] K I K R idac Reduce PFD to 1-bit output, which is weighted dynamically Small cycle-to-cycle phase error when locked, therefore DPLL behaves as a linear system Filter is composed of accumulator (integrator term) and a multiplier (proportional term) 14

Proposed DPLL Architecture DEC DEC Initial 3 1 4 0 DEC (shift right) INC (shift right) DEC (shift right) INC (shift right) 2 INC INC DEC Fast binary phase locking algorithm Reduce weight by half for every phase error sign change INC 15

Proposed DPLL Architecture PFD Diagram: R DFF Q D Reset Reset T Reset D V Q DFF Restart D UP Q LATCH CKout LATCH DN Q D Asynchronous Timing mechanism: PFD Generates a clock token which is used to drive the digital filter When filter value is updated a completion signal is sent back to PFD 16

Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL Circuit Implementation Measurement Results Conclusions 17

18 DPLL Linear Analysis Assume cycle-to-cycle phase error is small Discrete-time model: OUT IN 1/N F(z) ZOH K idac ICO K s ( ) + + + + = R K N K 1 Z N I K R K K 2 2 Z I K R K R K Z ) I K R (K K H(z) Closed loop expression:

DPLL Linear Analysis Case of K I =K R =1 Imaginary Axis 1 0.8 0.6 0.4 0.2 0 0.2 0.4 Root Locus System is unconditionally stable As open loop dc gain increases, poles migrate towards the origin 0.6 0.8 1 1 0.8 0.6 0.4 0.2 0 0.2 0.4 0.6 0.8 1 Real Axis 19

DPLL Linear Analysis Imaginary Axis 1 0.8 0.6 0.4 0.2 0 0.2 0.4 0.6 0.8 K I =1, K R K R increasing k=2 Root Locus k=1.33 k=0.78 1 1 0.8 0.6 0.4 0.2 0 0.2 0.4 0.6 0.8 1 Real Axis As K R increases, radius of circle shrinks (i.e. less damping) Can be used to effectively control the damping factor of closed loop system K R has little affect on loop bandwidth 20

DPLL Linear Analysis Imaginary Axis 1 0.8 0.6 0.4 0.2 0 0.2 0.4 0.6 0.8 K R =1, K I k=3.18 k=2.67 k=2 Root Locus K I increasing 1 1 0.8 0.6 0.4 0.2 0 0.2 0.4 0.6 0.8 1 Real Axis K I affects both damping factor and loop bandwidth As K I is increased, radius of circle increases; i.e. poles move faster and more oscillatory 21

Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL Circuit Implementation Measurement Results Conclusions 22

DPLL Adaptive Algorithm Sense number of consecutive UP or DN pulses, N err : If so, then increase size of error by factor of 2, then gradually decrease Sense if have a number of consecutive overflows, N cerr, or if can t get back to error size of 1 If so, then increase value of K R to ride out the deterministic jitter Values of N err and N cerr are determined empirically and depend on how much noise is expected to be injected into DPLL (can set by software) Value of K I greatly affects loop bandwidth and is adjusted to reduce ICO jitter, which can be determined at time of design 23

Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL Circuit Implementation Measurement Results Conclusions 24

DPLL Circuit Implementation D[9:4] 10-bit Current DAC: 6 4 OUT MSB OUT D[3:0] OUT 5 MSB, 5 LSB LSB D D D D OUT Iout MSB is single-ended switches zero current when off LSB is current steering switches minimum glitch energy when swtiching All Isrc are cascoded, thermally decoded and common centroid for best resolution at low currents 25

DPLL Circuit Implementation Corner cases: If LSB word is 00000 2 or 11111 2 or near these 2 words, an MSB can toggle Case 1: If LSB > 11000 2, subtract 1000 2 and turn on a special ½MSB PMOS current source Case 2: If LSB < 01000 2, add 1000 2 and turn off another special ½MSB PMOS current source that is normally always turned on Both special current sources have current steering switches 26

DPLL Circuit Implementation ICO: Three stage ring oscillator with Maneatis symmetric loads Current Source: Constant-gm current source matched to the ICO delay cell Digital Filter: CLA adders implemented for minimum phase margin degradation due to loop filter delay K I and K R restricted to powers of 2 Fast logic elsewhere 27

DPLL Circuit Implementation Steady state error (in LSBs) Effect of Loop Filter delay on jitter: 350 300 250 200 150 100 50 0 Increased jitter due to: Reduced phase margin Missing of edges at PFD due to delay of completion signal 0.01 0.03 0.05 0.07 0.09 0.11 0.12 0.14 0.16 0.18 0.2 0.22 0.24 Tdelay/Tref 28

Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL Circuit Implementation Measurement Results Conclusions 29

Experimental Results Phase Noise: -87dBc/Hz Closed loop BW ~ 2MHz 30

Experimental Results Jitter Histogram Plot: Peak-to-peak jitter is 270ps at 144MHz Distribution is fairly Gaussian indicating low quantization phase errors Very stable performance over temperature 31

Experimental Results Summary of Performance: Technology Power Supply Power Conumption VCO Range Peak-to-Peak Jitter Rms Jitter Cycle Jitter (T avg -T min ) 0.25um CMOS 2.6V 3.12mW @ 144MHz 40MHz 160MHz 270ps @ 144MHz 60ps @ 144MHz 130ps @ 144MHz 32

Figure-of-Merit: Area Jitter FOM Comparison with State-of-the- Proposed 1 1 1 [1] FOM 2.79 1.52 Art = 182.3 area(mm 2 ) ( tech / 0.25) [2] 1.113 3.324 518.8 2 mw MHz 3.43 Pwr 1 9.23 12.11 2.30 5.77 T L 1 0.61 0.085 3.33 2.40 1.22 APLL 17.74 1.5 [ ] jitter(ps) mw 2 [6] 0.64 1.03 9.46 33

Conclusions A fast-lock, low-jitter Digital PLL has been proposed Jitter is reduced by using an adaptive bandwidth algorithm, high-resolution idac Low-power achieved by elimination of extra overhead circuitry such as voltage-to-current (V2I) converter and charge pump and well partitioned idac Area has been reduced by digitizing the loop filter Proposed Digital PLL has very stable performance over corners due to elimination of much of analog circuitry 34