CLAA, CSLA and PPA based Shift and Add Multiplier for General Purpose Processor

Similar documents
DESIGN OF HIGH SPEED 32 BIT UNSIGNED MULTIPLIER USING CLAA AND CSLA

ISSN Vol.02, Issue.11, December-2014, Pages:

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL

IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA

NOVEL HIGH SPEED IMPLEMENTATION OF 32 BIT MULTIPLIER USING CSLA and CLAA

Design and Implementation of 64-bit Multiplication using CLAA & CLSA

Comparison among Different Adders

Structural VHDL Implementation of Wallace Multiplier

Design and Implementation of Hybrid Parallel Prefix Adder

DESIGN OF BINARY MULTIPLIER USING ADDERS

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Comparative Analysis of Various Adders using VHDL

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

An Efficient Higher Order And High Speed Kogge-Stone Based CSLA Using Common Boolean Logic

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

PUBLICATIONS OF PROBLEMS & APPLICATION IN ENGINEERING RESEARCH - PAPER CSEA2012 ISSN: ; e-issn:

Design and Implementation of Wallace Tree Multiplier Using Kogge Stone Adder and Brent Kung Adder

Design and Implementation of High Radix Booth Multiplier using Koggestone Adder and Carry Select Adder

A NOVEL IMPLEMENTATION OF HIGH SPEED MULTIPLIER USING BRENT KUNG CARRY SELECT ADDER K. Golda Hepzibha 1 and Subha 2

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools

High Speed Non Linear Carry Select Adder Used In Wallace Tree Multiplier and In Radix-4 Booth Recorded Multiplier

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

Efficient Implementation of Parallel Prefix Adders Using Verilog HDL

Design and Implementation of a delay and area efficient 32x32bit Vedic Multiplier using Brent Kung Adder

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS

International Journal of Modern Trends in Engineering and Research

PERFORMANCE ANALYSIS OF DIFFERENT ADDERS USING FPGA

Design and Comparative Analysis of Conventional Adders and Parallel Prefix Adders K. Madhavi 1, Kuppam N Chandrasekar 2

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

Implementation and Performance Evaluation of Prefix Adders uing FPGAs

Analysis of Low Power, Area- Efficient and High Speed Multiplier using Fast Adder

A Novel Approach For Designing A Low Power Parallel Prefix Adders

Design and Implementation of Modified High Speed Vedic Multiplier Using Modified Kogge Stone ADD ER

High Speed Multioutput 128bit Carry- Lookahead Adders Using Domino Logic

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN

Design and Implementation of High Speed Carry Select Adder

Analysis of Parallel Prefix Adders

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

Implementation of 32-Bit Carry Select Adder using Brent-Kung Adder

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder

A Highly Efficient Carry Select Adder

International Journal Of Scientific Research And Education Volume 3 Issue 6 Pages June-2015 ISSN (e): Website:

Parallel Prefix Han-Carlson Adder

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits

ADVANCES in NATURAL and APPLIED SCIENCES

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

Design of High Speed and Low Power Adder by using Prefix Tree Structure

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER

Adder (electronics) - Wikipedia, the free encyclopedia

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

Modelling Of Adders Using CMOS GDI For Vedic Multipliers

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

Design and Implementation of High Speed Area Efficient Carry Select Adder Using Spanning Tree Adder Technique

Design of an optimized multiplier based on approximation logic

Implementation of 64 Bit KoggeStone Carry Select Adder with BEC for Efficient Area

DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder

EFFICIENT DESIGN AND IMPLEMENTATION OF ADDERS WITH REVERSIBLE LOGIC

128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

DESIGN OF LOW POWER MULTIPLIERS

Design of Roba Mutiplier Using Booth Signed Multiplier and Brent Kung Adder

Design of 16-bit Heterogeneous Adder Architectures Using Different Homogeneous Adders

ADAPTIVE HEARING AID ALGORITHM USING DIFFERENT TYPES OF MULTIPLIER

Design and Simulation of Low Power and Area Efficient 16x16 bit Hybrid Multiplier

Index terms: Gate Diffusion Input (GDI), Complementary Metal Oxide Semiconductor (CMOS), Digital Signal Processing (DSP).

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

Design Of 64-Bit Parallel Prefix VLSI Adder For High Speed Arithmetic Circuits

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay

An Efficient Low Power and High Speed carry select adder using D-Flip Flop

ISSN:

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

Design and Performance Analysis of 64 bit Multiplier using Carry Save Adder and its DSP Application using Cadence

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

LOW POWER HIGH SPEED MODIFIED SQRT CSLA DESIGN USING D-LATCH & BK ADDER

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

Implementation of High Performance Carry Save Adder Using Domino Logic

Analysis Parameter of Discrete Hartley Transform using Kogge-stone Adder

AREA AND POWER EFFICIENT CARRY SELECT ADDER USING BRENT KUNG ARCHITECTURE

II. LITERATURE REVIEW

An Efficient Implementation of Downsampler and Upsampler Application to Multirate Filters

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU

National Conference on Emerging Trends in Information, Digital & Embedded Systems(NC e-tides-2016)

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

Performance Analysis of Multipliers in VLSI Design

Design of Efficient 32-Bit Parallel PrefixBrentKung Adder

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

High Performance Low-Power Signed Multiplier

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture

Reduced Area Carry Select Adder with Low Power Consumptions

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

Transcription:

; 1(4): 144-148 ISSN (online): 2349-0020 http://ijraonline.com E L E C T R O N I C S R E S E A R C H A R T I C L E CLAA, CSLA and PPA based Shift and Add Multiplier for General Purpose Processor A. Sowjanya 1 and R. Mahalaxmi 2 1 M.Tech(Pursuing) and 2 Assistant Professor 1 2 Department of ECE, Malla Reddy engineering college for Women, Affiliated to Jawarlal Nehru Technological University, Dhulapally, Secunderabad - 506 014. 1 sowji.sowjanya045@gmail.com and 2 mahalaxmi.engg@gmail.com ABSTRACT This project deals with the comparison of the VLSI design of the carry look-ahead adder (CLAA) based 32-bit unsigned integer multiplier and the VLSI design of the carry select adder (CSLA) based 32-bit unsigned integer multiplier. Both the VLSI design of multiplier multiplies two 32-bit unsigned integer values and gives a product term of 64-bit values. The CLAA based multiplier and CSLA based multiplier uses nearly the same delay time for multiplication operation. But the area needed for CSLA multiplier is less by the CLLA based multiplier to complete the multiplication operation.ppa is used to increase the speed then CSLA and CLAA. Keywords: CLAA, CSLA, PPA, Delay, Area, Array Multiplier, VHDL Modeling & Simulation 1. INTRODUCTION Digital computer arithmetic is an aspect of logic design with the objective of developing appropriate algorithms in order to achieve an efficient utilization of the available hardware. The basic operations are addition, subtraction, multiplication and division. In this, we are going to deal with the operation of additions implemented to the operation of multiplication. The repeated form of the addition operations and shifting results in the multiplication operations. Given that the hardware can only perform a relatively simple and primitive set of Boolean operations, arithmetic operations are based on a hierarchy of operations that are built upon the simple ones. In VLSI designs, speed, power and chip area are the most often used measures for determining the performance and efficiency of the VLSI architecture. Multiplications and additions are most widely and more often used arithmetic computations performed in all digital signal processing applications. Addition is a fundamental operation for any digital multiplication. A fast, area efficient and accurate operation of a digital system is greatly influenced by the performance of the resident adders. Adders are also very important component in digital systems because of their extensive use in these systems. In this project we are going to compare the performance of different adders implemented to the multipliers based on area and time needed for calculation. On comparison with the carry lookahead adder (CLAA) based multiplier the area of calculation of the carry select adder (CSLA) based multiplier takes more area and having same delay time. Here we are dealing with the comparison in the bit range of n*n (32*32) as input and 2n (64) bit output. Hence, to design a better architecture the basic adder blocks must have reduced delay time consumption and area efficient architectures. The demand is of DSP style systems for both less delay time and less area requirement for designing the systems. Our interest is in the basic building blocks of arithmetic circuits that dominate in DSP applications, VLSI architectures, computer applications and where ever reduced area computation is needed. 2. CARRYLOOK AHEAD ADDER Carry Look Ahead Adder can produce carries faster due to parallel generation of the carry bits by using additional circuitry. This technique uses calculation IJRA 2014 Volume 1 Issue 4 P a g e 144

of carry signals in advance, based on input signals. The result is reduced carry propagation time. For example, ripple adders are slower but use the least energy. Let Gi is the carry generate function and Pi be the carry propagate function, Then we can rewrite the carry function as follows: Gi = Ai. Bi (1) Pi = (Ai xor Bi) (2) Si = Pi xor Ci. (3) Ci+1= Gi + Pi.Ci. (4) correct result with single or multiple stage hierarchical techniques. In CSLA both sum and carry bits are calculated for two alternatives Cin=O and 1. Once Cin is delivered, the correct computation is chosen using a mux to produce the desired output. Instead of waiting for Cin to calculate the sum, the sum is correctly output as soon as Cin gets there. The time taken to compute the sum is then avoided which results in good improvement in speed. Figure 2. Carry Select Adder Figure 1. Carry Look Ahead Adder Thus, for 4-bit adder, we can compute the carry for all the stages as shown below: C1 =G0 + P0.C0 (5) C2 = G1 + P1.C1 = G1 + P1.G0 + P1.P0.C0 (6) C3 = G2 + P2.C2= G + P2.G1 + P2.P 1.G0 + P2.P1.P0.C0 (7) C4 = G3 + P3.C3 = G3 + P3.G2 + P3.P2.G1 + P3.P2.P1.G0 + P3.P2.P1.P0.C0 (8) In general, we can write: The sum function: SUMi = Ai xor Bi xor Ci = Pi xor Ci (9) The carry function: Ci+l= Gi + Pi.Ci. (10) 3. CARRY SELECT ADDER The concept of CSLA is to compute alternative results in parallel and subsequently selecting the In general, we can write the algorithm as: If Carry in =1, then the sum and carry out are given by, Sum (i) =a (i) xor b (i) xor '1' (11) Carry (i+ 1) = (a (i) and b (i)) or (b (i) or a (i)) (12) If Carry in =0, then the sum and carry out are given by, Sum (i) = a (i) xor b (i). (13) Carry (i+ 1) = (a (i) and b (i)). (14) The sum function: Si = CiSi 0 + CiSi 1 (15) The carry function: Ci+1 = CiCi+1 0 + CiCi+1 1 (16) IJRA 2014 Volume 1 Issue 4 P a g e 145

4. PARALLEL PREFIX ADDER Parallel-prefix adders compute addition in two steps: one to obtain the carry at each bit, with the next to compute the sum bit based on the carry bit. Unfortunately, prefix trees are algorithmically slower than fast logarithmic adders, such as the carry propagate adders, however, their regular structures promote excellent results when compared to traditional CLA adders. This happens within VLSI architectures because a carry-look ahead adder, such as the one implemented in one of Motorola's processors, tends to implement the carry chain in the vertical direction instead of a horizontal one, which has a tendency to increase both wire density and fanin/out dependence. Therefore, although logarithmic adder structures are one of the fastest adders algorithmically, the speed efficiency of the carry-look ahead adder has been hampered by diminishing returns given the fan-in and fan-out dependencies as well as the heavy wire load distribution in the vertical path. In fact, a traditional carry-look ahead adder implemented in VLSI can actually be slower than traditional linear-based adders, such as the Manchester carry adder. 5. MULTIPLTER FOR UNSIGNED DATA Multiplication involves the generation of partial products, one for each digit in the multiplier, as in Figure3.These partial products are then summed to produce the final product. The multiplication of two n-bit binary integers results in a product of up to 2n bits in length [2]. Figure 4. A partial schematic of the multiplier We used the following algorithm to implement the multiplication operation for unsigned data. 6. MULTIPLICATION ALGORITHM Let the product register size be 64 bits. Let the multiplicand registers size be 32 bits. Store the multiplier in the least significant half of the product register. Clear the most significant half of the product register. Repeat the following steps for 32 times: 1. If the least significant bit of the product register is "1" then add the multiplicand to the most significant half of the product register. 2. Shift the content of the product register one bit to the right (ignore the shifted-out bit.) 3. Shift-in the carry bit into the most significant bit of the product register. Figure 3. 16-bit Kogge-Stone Prefix Tree Figure 4.Shows a block diagram for such a multiplier [2]. IJRA 2014 Volume 1 Issue 4 P a g e 146

Figure 7. Waveform of Carry Select Adder Based multiplier Figure 5. Multiplier of two n-bit values. 7. Simulation Results The VHDL simulation of the two multipliers is presented in this section. In this, waveforms, timing diagrams and the design summary for both the CLAA and CSLA based multipliers are shown in the figures. The VHDL code for both multipliers, using CLAA and CSLA, are generated. The VHDL model has been developed using Xilinx ISE 13.2. The multipliers use two 32-bit values. Figure 8. Waveform of Parallel Prefix Adder Based multiplier 8. Conclusion A design and implementation of a VHDL-based 32- bit unsigned multiplier with CLAA and CSLA was presented. VHDL, a Very High Speed Integrated Circuit Hardware Description Language, was used to model and simulate our multiplier. Using CSLA improves the overall performance of the multiplier. Thus a 31 % area delay product reduction is possible with the use of the CSLA based 32 bit unsigned parallel multiplier than CLAA based 32 bit unsigned parallel multiplier. Figure 6. Waveform of Carry look Ahead Based multiplier 9. Future Work This 32 bit multiplier can be further extended to 64 bit multiplier and 128 bit multiplier using the proposed method for multiplication operation can be done as future work. IJRA 2014 Volume 1 Issue 4 P a g e 147

REFERENCES [1]. P. Asadi and K. Navi, "A novel highs-speed 54-54 bit multiplier", Am. J Applied Sci., vol. 4 (9), pp. 666-672. 2007. [2]. W. Stallings, Computer Organization and Architecture Designing for Peljormance, 71h ed., Prentice Hall, Pearson Education International, USA, 2006, ISBN: 0-13-185644-8. [3]. F. Wakerly, Digital Design-Principles and Practices, 4th ed., Pearson Prentice Hall, USA, 2006. ISBN: 0131733494. [4]. A. Sertbas and R.S. Ozbey, "A performance analysis of classified binary adder architectures and the VHDL simulations", J Elect. Electron. Eng., Istanbul, Turkey, vol. 4, pp. 1025-1030,2004. [5]. P. S. Mohanty, "Design and Implementation of Faster and Low Power Multipliers", Bachelor Thesis. National Institute of Technology, Rourkela, 2009. [6]. S. Brown and Z. Vranesic, Fundamentals of Digital Logic with VHDL Design, 2nd ed., McGraw-Hill Higher Education, USA, 2005. ISBN: 0072499389. [7]. J. R. Armstrong and F.G. Gray, VHDL Design Representation and Synthesis, 2nd ed., Prentice Hall, USA, 2000. ISBN: 0-13- 021670-4. [8]. Z. Navabi, VHDL Modular Design and Synthesis of Cores and Systems, 3rd ed., McGraw-Hill Professional, USA, 2007. ISBN: 9780071508926. [9]. P. C. H. Meier, R. A. Rutenbar and L. R. Carley, "Exploring Multiplier Architecture and Layout for low Power", CIC'96, 1996. [10]. Software Simulation Package: Direct VHDL, Version 1.2, 2007, Green Mounting Computing Systems, Inc., Essex, VT, UK. [11]. Hasan Krad and Aws Yousi( "Design and Implementation of a Fast Unsigned 32-bit Multiplier Using VHDL, 2010. AUTHOR S BIOGRAPHY. 1. A. Sowjanya is pursuing her M.Tech from Malla Reddy engineering college for Women, Hyderabad in the department of Electronics & Communications Engineering with specialization in embedded systems. 2. R.Mahalaxmi is working as an Assistant Professor in the department of Electronics & Communication Engineering in Malla Reddy engineering college for Women, Hyderabad. She completed masters from PRRM Engineering College. (She has over 07 years of teaching experience.) IJRA 2014 Volume 1 Issue 4 P a g e 148