RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

Similar documents
Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

[Sri*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Wide Fan-In Gates for Combinational Circuits Using CCD

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Microelectronics Journal

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

ISSN:

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Power Efficient and Noise Immune Domino Logic for Wide Fan in Gates

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Investigation on Performance of high speed CMOS Full adder Circuits

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Session 10: Solid State Physics MOSFET

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Leakage Power Reduction by Using Sleep Methods

Comparison of Power Dissipation in inverter using SVL Techniques

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Implementation of dual stack technique for reducing leakage and dynamic power

Design of Signed Multiplier Using T-Flip Flop

NBTI Degradation: A Problem or a Scare?

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Ultra Low Power VLSI Design: A Review

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Low Power Design of Successive Approximation Registers

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Design for Systems on a Chip. Tutorial Outline

Power-Area trade-off for Different CMOS Design Technologies

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Domino Static Gates Final Design Report

Available online at ScienceDirect. Procedia Computer Science 46 (2015 )

EEC 118 Lecture #12: Dynamic Logic

Leakage Current Analysis

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

PROCESS and environment parameter variations in scaled

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Temperature-aware NBTI modeling and the impact of input vector control on performance degradation

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

Design of Optimized Digital Logic Circuits Using FinFET

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

DESIGN OF ON CHIP TEMPERATURE MONITORING IN 90NM CMOS

A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation

Design and Analysis of CMOS based Low Power Carry Select Full Adder

BICMOS Technology and Fabrication

AS THE semiconductor process is scaled down, the thickness

Design of Adders with Less number of Transistor

Lecture 13 CMOS Power Dissipation

Performance analysis of Modified SRAM Memory Design using leakage power reduction

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

Optimization of power in different circuits using MTCMOS Technique

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

An Overview of Static Power Dissipation

A REPORT ON LOW POWER VLSI CURCUIT DESIGN

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

IJMIE Volume 2, Issue 3 ISSN:

Transcription:

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements for The Degree Master in Sciences In Engineering: Embedded Electrical and Computer Systems by Milana Ram San Francisco, California December, 2010

Copyright by Milana Ram 2010

CERTIFICATION OF APPROVAL I certify that I have read Reliability Analysis of Dynamic Logic Circuits under Transistor Aging Effects in Nanotechnology by Milana Ram, and that in my opinion this work meets the criteria for approving a thesis submitted in partial fulfillment of the requirement for the degree: Master of Sciences in Engineering at San Francisco State University. Hamid Mahmoodi Assistant Professor, Electrical and Computer Engineering Hao Jiang Professor, Electrical and Computer Engineering

Reliability Analysis of Dynamic Logic Circuits under Transistor Aging Effects in Nanotechnology Milana Ram San Francisco, California 2010 As the CMOS technology scales down towards nanoscale dimensions, there are increasing transistor reliability challenges which impact the lifetime of integrated circuits. These issues are known as aging effects, which result in degradation of the performance of circuits. NBTI (Negative biased temperature instability) is a well known aging phenomenon which is also one of the limiting factors for future scaling of devices. In this project we will analyze the impact of NBTI on performance of dynamic logic circuits. Dynamic logic is a popular design methodology in high speed digital electronics. We will first analyze the impact of NBTI on performance metrics of a dynamic logic circuit, namely, delay, power, and Unity Noise Gain (UNG). It is observed that the aging of the PMOS keeper transistor and the PMOS in the output inverter of a dynamic logic circuit have opposing effects of the dynamic logic circuit performance under NBTI. This provides the opportunity to nullify the effect of NBTI on aging of a dynamic logic circuit by carefully optimizing the sizing of the keeper and the inverter PMOS transistors. We propose PMOS sizing optimization to reduce the impact of the reliability issues in dynamic logic circuits. Our results show that optimal sizing of the PMOS transistors in a dynamic logic circuit, the circuit becomes immune to the NBTI aging effect. After the optimization, the degradations in delay, power, and UNG over a 2-year lifetime are measured to be 1.71%, 0%, and 0% in a 32nm CMOS technology. In a nonoptimized circuit, this degradation is too high to be ignored. I certify that the Abstract is a correct representation of the content of this thesis. Chair, Thesis Committee Date

ACKNOWLEDGEMENTS I would like to thank my thesis advisor Dr. Hamid Mahmoodi for his invaluable guidance and support towards the completion of my thesis. I would also like to thank my committee member Dr. Hao Jiang for his timely support and feedback. I am also thankful to the school of engineering for me the resources required for completing my project. Finally, I would like to thank the whole team of NeCRC (Nano-electronics Computing and Research Center), my family and friends for their support. vi

TABLE OF CONTENTS List of Tables......ix List of Figures......x 1. Introduction.1 2. Dynamic Logic Circuit and Operation 4 3. Performance metrics of Dynamic Logic Circuit.9 3.1 Delay (loaded and unloaded)...9 3.2 Power Consumption 11 3.3 Unity Noise Gain (UNG) 12 Explanation of concept of UNG. 13 Measurement approach UNG. 14 4. Model of NBTI...15 5. Impact of NBTI on Dynamic Logic Circuits & Transistor sizing optimization to mitigate impact NBTI....17 5.1 Impact on Unloaded Delay.....17 5.2 Impact on Loaded Delay.18 5.3 Impact on Power...18 5.4 Impact on UNG...19 6. Future Work 21 7. Conclusion..22 8. Reference 23 vii

LIST OF TABLES TABLE I. TABLE II. TABLE III. Unloaded Delay Optimization.17 Loaded Delay Optimization.18 Power Consumption Optimization...19 TABLE IV. UNG Optimization.. 20 viii

LIST OF FIGURES Fig 1: Dynamic Logic Circuit..4 Fig 2: IN to X Delay Path....6 Fig 3: X to OUT Delay Path....7 Fig 4: Delay of a Circuit.. 10 Fig 5: Noise Pulse Applied to a circuit...13 Fig 6: Graph of Unity Noise gain (UNG)....14 Fig 7: V t shift for the three technology corners..16 ix

1 1. INTRODUCTION Over the recent years there has been aggressive scaling in CMOS. After the silicon technology has entered the nanometer regime the performance of transistors degrades over time. Aggressive scaling has resulted in augmented short channel effects, exponential rise in leakage currents, process variations, depressed gate control for transistors and hysterical power densities. Electrical and physical properties if transistors are deterministic and unpredictable over the device lifetime. The most important factors that cause degradation in transistor performance are due to Negative Bias Temperature Instability (NBTI) and Positive Bias Temperature Instability (PBTI). NBTI results from interface trapped charges from the broken Si-H bonds at the interface [4]. PBTI results from oxide trapped charges [10]. NBTI and PBTI resultant degradation not only depends on supply voltage and temperature but also threshold voltage and other technology parameters of the MOS transistor. Further scaling results in more threshold voltage degradation. MOS becomes a slower switch with threshold voltage degradation which leads to undesirable operation of circuits consisting MOS transistors. Apart from aging process temperature variations play a vital role in circuit operations. Low power design has always been of utmost importance to increase the robustness of a circuit. This thesis addresses the issues of NBTI (aging) on a dynamic logic circuit and provides design optimization to critical metrics such as power consumption, delay and noise immunity. Many techniques have been developed so far to reduce the leakage power and noise, however not

2 much research has been done with consideration of the 3 major parameters such as delay, power and noise. The minimization of propagation delay, power consumption and leakage noise is achieved by optimal sizing of the devices. The two main PMOS transistors which are affected by NBTI are sized till the best combination which results in minimum change due to aging is achieved. The experiments are done with 32nm CMOS dynamic logic circuit. It is observed that: In a non-optimized circuit the NBTI degradation can be as high as 10% Aging of the PMOS keeper transistor and the PMOS in the output inverter of a dynamic logic circuit have opposing effects on the dynamic logic circuit performance under NBTI. This provides the opportunity to nullify the effect of NBTI on aging of a dynamic logic circuit by carefully optimizing the sizing of the keeper and the inverter PMOS transistors NBTI resultant V t degradation are more significant at higher temperature. We also analyzed that, By adding an inverter load at the output not only the delay but the degradation due to aging also increases The width of the PMOS transistor has to be sized at least 2 times that of Keeper transistor. Decreased sizing of the PMOS results in high degradation overage As the sizing of the Keeper transistor increases the change in the power consumption overage increases The change in the noise immunity of the circuit is of the order 1 mv overage.

3 The remainder of this thesis is organized as follows. Section 2 includes the introduction to Dynamic Logic and its operations. Section 3 describes performance metrics namely delay, power consumption and Unity Noise Gain. Section 4 discusses the NBTI model. Section 5 describes the effect of NBTI on the 3 performance metrics. In Section 6, we explain the transistor sizing optimization to reduce the effect of aging. Finally section 7 concludes the thesis.

4 2. DYNAMIC LOGIC CIRCUIT AND ITS OPERATION Dynamic logic is a popular design methodology in high speed digital electronics which has a reduced implementation area. The proposed dynamic logic circuit is show in Fig 1. Fig 1. Dynamic Logic Circuit Fig 1 shows the schematic of a dynamic logic circuit, the pull down network (PDN) is replaced by a single NMOS in our circuit. The operation of this circuit is divided into two major phases: precharge and evaluation, with the mode of operation determined by the clock signal CLK [11]. The PMOS keeper transistor holds the value of the output till the input is changed hence it is referred to as a keeper. The total delay of the circuit is from CLK to node X and node X to Out. T p = T p1 + T p2 (1)

5 Where, T p : Total delay T p1 : Delay CLK to X T p2 : Delay X to Out During the precharge the phase the output is high and keeper stores the value of the input. At the evaluation phase the discharge takes place through two phases Phase 1: T p1 : IN to X (Fig 2)

Phase 2: T p2 : X to OUT (Fig 3) 6

7 I PMOS Cx Fig 3. X to OUT delay path Therefore, T p1 + T p2 =

8 From the above equation it is observed that threshold voltage of the keeper (V tkeeper ) and that of the inverter PMOS (V tpmos ) have opposing effects on the overall delay. Increase in V tkeeper will result in delay reduction (due to reduction in T p1 ) whereas increase of V tpmos will result in increase in overall delay (due to increase in T p2 ). In other words the delay has negative sensitivity to V tpmos. The magnitude of the delay sensitivity depends on the sizing of the keeper and the inverter PMOS transistors. Hence, we expect that by accurate sizing of both the keeper and the PMOS (W KEEPER and W PMOS ), we can minimize the delay change as a result of V t increase caused by NBTI and hence improve the performance of dynamic logic circuit. We propose PMOS sizing optimization to reduce the impact of the reliability issues in dynamic logic circuits. We will consider the optimal sizing of the keeper and the inverter PMOS transistors for minimizing the impact of the aging effects.

9 3. PERFORMANCE METRICS OF DYNAMIC LOGIC CIRCUIT. Constant field scaling of transistors results in degradation of circuit parameters. If S is the scaling factor 0.7 of each generation (according to Moore s Law). Then Delay is scaled by a factor S, Power by a factor S 2 and switching energy by a factor S 3. Increase in leakage power due to scaling has become a major concern of reliability. There is an urgent need for low power design, leakage reduction and leakage tolerant design. Hence we consider the 3 main parameters of Delay, Power and Noise leakage in order to increase the robustness of a circuit. 3.1 Delay (Loaded and Unloaded) Total Delay of a circuit is defined as

10 t = (t - t ) + (t 3-t 1) d 2 1 Vout drops from V DD - V t to 0.5 V DD Vout drops from V DD to Vdd - V t V DD V DD - V t V in 0.5V DD V out t 1 t 2 t 3 Fig 4. Delay of a circuit Saturation region from t = t 1 (corresponding to V out = V DD ) to t = t 2 (corresponding to V out = (V DD - V tn )). Linear region from t = t 2 (corresponding to V out = (V DD - V tn )) to t = t 3 [12] Delay Time is defined as, t Dn = t 3 t 1 = A n * C l β n V dd (5) Delay α C L (optimize C L to increase delay)

11 1/β n (if W is increased or L is decreased delay decreases) 1/V dd (decrease supply voltage increases delay) These are the 3 major parameters for optimizing the speed of CMOS [12]. We consider two circuits. The first one is the Dynamic logic Circuit without any load next we add an inverter load at the output and optimize the delay of the circuit. We observe that the delay of the loaded circuit is increased by 25%. We provide design optimizations to both the cases. 3.2 Power Consumption As the technology is scaled down the threshold voltage decreases, as V T decreases a few parameters increase which are: I OFF and I D(SAT). As V T decreases subthreshold leakage increases. Leakage is a hindrance for voltage scaling. Leakage results in power dissipation and robustness of dynamic logic circuits. The two types of power consumption are: Dynamic Power consumption: which includes switching power and short circuit power Static Power consumption: which includes Leakage We consider Dynamic Power consumption due to switching as the static power consumption is very minimal and can be ignored. In addition to it dynamic circuits have faster switching speeds also the clock power of dynamic logic can be significant, particularly since the clock node has a guaranteed transition on every single clock cycle. Hence we consider the power consumption due to switching in our circuit.

12 3.3 Unity Noise Gain: By scaling down the threshold voltage, V T needs to be scaled properly to offset the undesired speed loss [5]. Leakage power increases exponentially and there is also deterioration in the noise immunity of the dynamic circuit [9]. In order to design a noise tolerant dynamic circuit, we need to calculate the unity noise gain (UNG) which is defined as the amplitude of the output noise referred to as Von in our circuit UNG = {V NOISE : V in = V NOISE = V ON } (5) The input noise stimulus (see Fig. 5) consists of a DC offset V DC (to account for the possible IR drops) and a scalable pulse V pulse, i.e. V noise = V DC + V pulse (6) We calculated the UNG using an input noise pulse that generates an equal output noise pulse. In our experiments, we increased the amplitude of the input noise pulse from 0.1 V to 0.9 V in order to calculate the UNG as shown in Fig 5. We calculated the UNG for standard sizing of PMOS and Keeper Fig 5 gives that the region of UNG lies between 0.5 and 0.6.

13 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 Fig 5. Noise pulse applied to the circuit

14 Fig 6. Graph of unity noise gain (UNG) To calculate the UNG manually it is very cumbersome as it is of the order of mv so it might take around 1000 readings which are not feasible. So we calculate the UNG using linear iterations with a perl code. Then we find the degradation overage and optimize the circuit for the minimum noise leakage overage.

15 4. MODEL OF NBTI UNDER TEMPERATURE AND PROCESS VARIATIONS A comprehensive model for NBTI V t shift is given in [10, 13, 14]. In our research, we simplified models and came up with the following model V K v t A t 1 m K t ox v C ox 0.25 ( V gs v V t E ) exp ox E o E exp a KT (7) Where t ox is the effective oxide thickness, E ox is the electric field across the oxide ((V gs -V t )/t ox ). A, E a, E 0, v, m and K are constants [3, 10], and t is stress time in second. This model shows the dependence of V t shift on temperature (T) and process (threshold voltage) variation (V t ). Fig. 7 shows the percentage of V t shift in three process corners: low V t transistors, nominal V t transistors and high V t transistors at two temperatures: room temperature (25 C) and worst case (100 C) for SiO 2 dielectrics. These results show that V t shift is greater at high temperature and the low technology corner. This is due to the dependence of K v factor in Eq. 1 on the temperature and threshold voltage.

Percentage Vt Shift 16 2.5 2 1.5 1 0.5 Low Vt, 25 C Low Vt, 100 C Nominal Vt, 25 C Nominal Vt, 100 C high Vt, 25 C 0 1/8year 1/4year 1/2year 1year 2year high Vt, 100 C Fig. 7: V t shift for the three technology corners: low V t transistors, nominal V t transistors, high V t transistors at room temperature (25 C) and worst case temperature (100 C) for SiO 2 dielectrics.

17 5. IMPACT OF NBTI ON DYNAMIC LOGIC CIRCUITS IMPACT OF NBTI ON DYNAMIC LOGIC CIRCUITS & TRANSISTOR SIZING OPTIMIZATION TO MITIGATE IMPACT NBTI 5.1 Delay: For robustness measurement, we apply identical pulses to both clock and the input to the PDN in the evaluation phase and then measure the low to high delay of the circuit in 32 nm. Initially the PMOS Keeper and PMOS maintain the standard sizing, W PMOS is 100 nm and W Pkeeper is 40 nm. Random sizing iterations are done to capture the best delay. NBTI is applied to all the PMOS transistors, the worst case is considered after 100,000 clock cycles at a temperature of 100 o C. The calculations were done for 2 circuits, one for an unloaded circuit and one for a loaded circuit with an inverter load added. However, for practical purposes it is more feasible to consider a loaded circuit. The best sizing which resulted in the minimum change in delay percentage before applying NBTI and after applying NBTI is as shown. PMOS Keeper Width in nm PMOS Width in nm Delay without NBTI (ps) Delay with NBTI (ps) Percentage Delay change 45 80 9.2090 9.3771 1.83% 50 120 9.4425 9.5699 1.35% 50 110 9.4638 9.5848 1.28% TABLE I. Unloaded Delay Circuit Optimization

18 PMOS Keeper Width in nm PMOS Width in nm Delay without NBTI Delay with NBTI Percentage Delay change 50 160 10.68 10.90 2.07% 70 120 12.98 13.21 1.72% 60 140 11.51 11.70 1.71% TABLE II. Loaded Delay Circuit Optimization: 5.2 Power Consumption: Power consumption is one of the major reliability metric which needs to be taken into consideration while optimizing a circuit. Given the trend that leakage power increases by a factor of 5X with each technology generation and will become a significant portion of the total power in

19 future ICs [5]. To analyze the power consumption of a circuit we apply a constant DC voltage of 0.9V to the input and check the power consumption overage. The results were as follows: PMOS Keeper Width in nm PMOS Width in nm Power Consumption with NBTI in pwatt Power Consumtption without NBTI in pwatt Percentage change in Power Consumption overage 70 120 374.03 364.80 3% 60 140 378.55 370.63 2% 50 160 361.43 361.68 0% TABLE III. Power Consumption Optimization We notice that for the sizing of Keeper 50 nm and PMOS 160 nm results in no change in power consumption even after applying aging. This is the best design optimization if leakage power is the main criteria. 5.3 UNG (Unity Noise Gain) Noise in applied at the input V in and swept from 0.1V to 0.9V the noise at the output is measured. The typical readings are as such: noise of the output (V ON ) is usually of the order of a few mv until 0.5 V then it shoots up to 0.9V and does not change much even if the input noise in increased. The straight line, y=x line, the point where the curve meets the straight line is called as Unity Noise Gain. At that point the input noise and output noise are equal.

20 PMOS Keeper Width in nm PMOS Width in nm UNG without NBTI UNG with NBTI Percentage UNG change over age 50 160 0.535 0.534 0% 60 140 0.576 0.577 0% 70 120 0.619 0.619 0% TABLE IV. UNG Optimization

21 6. FUTURE WORK We have performed our experiments in the evaluation phase of the transistors when the input is low and there is dissipation through NMOS transistors. In the evaluation phase the PMOS and Keeper have opposing effects hence we have a method to nullify the effect of NBTI. Similarly in the precharge phase the NMOS and Keeper transistors will have opposing effects on the delay so there is a potential way to optimize the circuit and more scope for research in the precharge phase. There can be an optimized circuit which is not affected by aging in both the evaluation and precharge phases.

22 7. CONCLUSION As per our results and data we can come to the conclusion that the effect of NBTI can be nullified by appropriately sizing our transistors. If we consider delay as the main criteria then the best optimized circuit will be W Pkeeper as 60 nm and W PMOS as 140 nm. We can also have the best optimization for UNG and Power consumption according to the criteria. Also, we notice that the noise immunity of our circuit is not affected by aging there is a difference of 1 mv in the UNG before and after aging. Even this can be avoided with the optimal sizing. This design is the most appropriate for scaled technologies where delay and power are the main trade off for robustness of a circuit.

23 8. REFERENCES [1] A. E. Islam, H Kufluoglu, D Varghese, S. Mahapatra, A. M. Alam, Recent issues in negative-bias temperature instability: Initial degradation, field dependence of interface trap generation, hole trapping effects, and relaxation IEEE Trans. Electron Devices, vol 54 no 9 pp 2143-2154, Sep 2007 [2] R Vattikonda, W Wang, Y K Cao, Modelling and minimization of PMOS NBTI effect for robust nanometer design Design Automation Conference, 2006, 43 rd ACM/IEEE, vol, no., pp, 1047-105 [3] P. Gronowski, Issues in dynamic logic design, in Design of High-Performance Microprocessor Circuits, A. Chandrakasan,W. J. Bowhill, and F. Fox, Eds. Piscataway, NJ: IEEE Press, 2001, ch. 8, pp. 140 157. [4] Predictive Technology Models (PTM) Online: http://www.eas.asu.edu/~ptm/ [5] Lei Wang, Ram K. Krishnamurthyy, K. Soumyanathy, and Naresh R, An Energy Efficient Leakage Tolerant Dynamic Circuit Technique.. International System on Chip Conference pp 221-225, Sep 2000

24 [6] M. Anders, R. Krishnamurthy, R. Spotten, K. Soumyanath, " Robustness of sub-70 nm dynamic circuits: Analytical techniques and scaling trends in Proc symposium VLSI circuit June 2001, pp 23-24 [7] R Kumar (2001) Interconnect and Noise Immunity Design for Pentium 4 processor. Intel technology J [Online] Vol 5Q1 [8] Hamid Mahmoodi-Meimand, and Kaushik Roy, Diode-Footed Domino: A Leakage-Tolerant High Fan-in Dynamic Circuit Design Style IEEE transactions on regular paper I regular papers vol 51, No 3, March 2004 [9] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand, Leakage current mechanisms and leakage reduction techniques in deep-submicron CMOS circuits, Proc. IEEE, vol. 91, pp. 305 327, Feb. 2003. [10] S. Zafar et. al. Charge trapping related threshold voltage instabilities in high permittivity gate dielectric stacks, Journal of Applied Physics, vol. 93, pp. 9298-9303, Jun 2003. [11] Jan Rabaey, Digital Intergrated Circuit A Design Prospective, 2 nd edition, ch 6.3, pg 272. [12] Dr. Hamid Mahmoodi, Modelling of Power and Delay, Engineering 856 Nanoscale Circuits and Systems, pp 15 25.

25 [13] Jeffrey Hicks et. al., 45nm Transistor Reliability, Intel Technology Journal, Volume 12, Issue 2, 2008. [14] B. C. Paul, et. al., Impact of NBTI on the Temporal Performance degradation of digital circuits, IEEE Electron Device Letters, vol. 26, no. 8, Aug. 2005.