A Frequency Synthesis of All Digital Phase Locked Loop

Similar documents
PHASE-LOCKED loops (PLLs) are widely used in many

IN RECENT years, the phase-locked loop (PLL) has been a

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos

RECENT advances in integrated circuit (IC) technology

/$ IEEE

A Fast-Locking All-Digital Phase-Locked Loop with a Novel Counter-Based Mode Switching Controller

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

Dedication. To Mum and Dad

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter

Integrated Circuit Design for High-Speed Frequency Synthesis

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

High Performance Digital Fractional-N Frequency Synthesizers

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Low Power CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution

AS THE DATA rate demanded by multimedia system

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications

ISSN:

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

WITH the explosive growth of the wireless communications

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution

Research on Self-biased PLL Technique for High Speed SERDES Chips

HIGH resolution time-to-digital converters (TDCs)

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

THE serial advanced technology attachment (SATA) is becoming

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

Design of a Frequency Synthesizer for WiMAX Applications

VCO Based Injection-Locked Clock Multiplier with a Continuous Frequency Tracking Loop

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

THE reference spur for a phase-locked loop (PLL) is generated

A single-slope 80MS/s ADC using two-step time-to-digital conversion

FRACTIONAL-N FREQUENCY SYNTHESIZER DESIGN FOR RFAPPLICATIONS

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator

High Performance Digital Fractional-N Frequency Synthesizers. IEEE Distinguished Lecture Lehigh Valley SSCS Chapter

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

Digitally Controlled Delay Lines

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM

DESIGN OF A MODULAR FEEDFORWARD PHASE/FREQUENCY DETECTOR FOR HIGH SPEED PLL

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

Research Article A Low-Power Digitally Controlled Oscillator for All Digital Phase-Locked Loops

REDUCING power consumption and enhancing energy

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

A Low Power VLSI Design of an All Digital Phase Locked Loop

A Monotonic, low power and high resolution digitally controlled oscillator

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

Designing of Charge Pump for Fast-Locking and Low-Power PLL

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter

A Novel High Efficient Six Stage Charge Pump

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

A Low Power Single Phase Clock Distribution Multiband Network

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

ALL-DIGITAL phase-locked loop (ADPLL) frequency

THE phase-locked loop (PLL) is a very popular circuit component

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

DESIGN OF LOW POWER VCO ENABLED QUANTIZER IN CONTINUOUS TIME SIGMA DELTA ADC FOR SIGNAL PROCESSING APPLICATION

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

Introduction to CMOS RF Integrated Circuits Design

All-Digital RF Phase-Locked Loops Exploiting Phase Prediction

Lecture 7: Components of Phase Locked Loop (PLL)

A MASH ΔΣ time-todigital converter based on two-stage time quantization

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

Transcription:

A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com Abstract All Digital Phase locked loops (ADPLL) plays a major role in System on Chips (SoC). Many EDA tools are used to design such complicated ADPLLs. It operates on two modes such as frequency acquisition mode and phase acquisition mode. Frequency acquisition mode is faster compared to Phase acquisition, hence frequency synthesis is performed. The CMOS technology is used to design such a complex design in Micron Technology. The frequency of the ADPLL is synthesized using feed forward compensation techniques. All the parameters of ADPLL (Power consumption, area, locking time) are obtained using 0.18μm technology. The synthesis of ADPLL with Digitally Controlled Oscillator (DCO) and modified Digitally Programmable Delay Element (DPDE) are done and it is found that ADPLL with DPDE consumes less power compared to ADPLL with DCO. Keywords- All digital Phase locked loops(adpll), EDA tool, CMOS technology, frequency synthesis, Digitally Controlled Oscillator(DCO), ring oscillator, Digitally Programmable Delay Element (DPDE). I. INTRODUCTION A Phase-Locked Loop (PLL) is a closed-loop frequency-control system based on the phase difference between the input clock signal and the feedback clock signal of a controlled oscillator. The main blocks of the PLL are the phase frequency detector (PFD), charge pump, loop filter, voltage controlled oscillator (VCO), and counters, such as a feedback counter (M), a pre-scale counter (N), and post-scale counters(c). Analog approaches are adopted to design PLLs. But it is difficult to integrate an analog PLL into a noisy SoC environment. Therefore, it becomes popular to digitalize the implementation of the loop filter and oscillator circuits in PLLs [2], [3].This kind of PLLs is named as All-Digital Phase-Locked Loop (ADPLL). Compared with the traditional analog PLLs, ADPLL has several advantages. First, most of the signals in the ADPLLs are digital formats. Thereby, the ADPLLs have higher immunity to switching noise. Second, the ADPLLs can be implemented by electronic design automatic (EDA) tools. This can reduce design time greatly. Third, the analog filter is replaced with digital filter. The large area for the capacitors in the analog filter is saved. Therefore, the ADPLL is more readily scaled down in size when new fabrication processes are utilized. Fourth, the frequency of the digitally controlled oscillator (DCO) is tuned by digital codes. So ADPLLs are much easier to achieve fast frequency acquisitions [1]. To reduce the power consumption, the SoC processor will enter the sleep mode when it is not in use. In the sleep mode, the processor will turn off several parts of the processor. This may include turning off the PLL. When the SoC processor exits the sleep mode PLL should provide the processor with the correct clock as soon as possible. So, designing a fast locking PLL is very important for a SoC processor. Usually, there are several frequency search algorithms used in ADPLL. One of the typical methods is adjusting the PLL s loop bandwidth dynamically [4], [5]. This method is very common in fast locking charge pump PLLs [6]. In this paper, a fast locking ADPLL via feedforward compensation technique is described. The proposed ADPLL has two operation modes which are frequency acquisition mode and phase acquisition mode. In frequency acquisition mode, a feed-forward compensation structure is activated. It takes two reference cycles to estimate an ADPLL parameter which is called here, and predicts the desired code. The predicted code is directly sent to the DCO. Then the ADPLL enters the phase acquisition mode, a PLL is activated to eliminate the remaining frequency error. The Microwind, EDA software is used to design any complicated IC in back-end chip design. For any circuit design steps to be followed are Circuit implementation, Simulation, Transistor level extraction and Circuit verification. After completing all the above processes we get an imaginary circuit layout. This paper is organized as follows. The feedforward compensation algorithm is proposed in Section II. In Section III, the structure and operation of the proposed ADPLL is introduced. Implementation of ADPLL is described in Section IV. Power and Delay Analysis of ADPLL is given in Section V, and conclusions are given in Section VI. II. FEED FORWARD COMPENSATION ALGORITHM When the code W is assigned to tune the DCO, the DCO frequency is f. F is the corresponding value sensed by the modified frequency divider (MDIV). The operation of the MDIV will be explained in Section IV. Simply speaking, the MDIV counts by the rising edge of the DCO clock when the reference clock is low level. When the reference clock rises, the value of the counter 938

in MDIV is saved as F. In the following, the subscripts on the symbols f and F accord with the subscript on the symbol W. So, when two codes W1 and W2 are assigned to tune the DCO successively, the corresponding frequencies of the DCO are f1 and f2. F1 and F2 are the corresponding values sensed by the MDIV. The relation between the sensed value and the frequency of the DCO is given in (1) III. STRUCTURE AND OPERATION OF ADPLL The ADPLL, shown in Fig. 1, includes a phase/frequency detector (PFD), a MDIV, a loop control (LC), a ring type DCO, a first-order digital loop filter (DLPF), and a P2D. The stage of the DCO in the ADPLL is five, so the DCO can provide five clocks whose phases are different. The five clocks are named as clk[0], clk[1],clk[2],clk[3] & clk[4]. Where f ref is the reference clock frequency. Therefore, the frequency error between and can be represented by the difference between F1 and F2 In many DCO designs, f1 and f2 can be defined as (3) Fig. 1.Structure of the ADPLL Where K o is the DCO gain measured in megahertz, and f min is the minimum frequency of the DCO. Combining (2) with (3), the parameter K f can be obtained by (4) Then (4) can be rewritten as (5) Because of the MDIV which will be described later, the stored value of F is M/2 when the ADPLL is frequency locking. M is the frequency divider ratio. In (5), F1 replacing with M/2, and W can be calculated by (6) equation From (6), it is seen that the ADPLL with the proposed algorithm can achieve a fast frequency locking when the values W 2 and are known. The code W 2 is generated by the ADPLL itself. The value is set to the ADPLL before the ADPLL works, and the value is sensed by the MDIV, so these values can be obtained easily. The value which is the most difficult to obtain is K f. From (4), it is seen that the parameter K f is PVT dependent. So it is better to recalculate the value of for every initialization of the ADPLL. A detailed diagram of the ADPLL architecture is shown in Fig.2, together with the signals reflected in the pseudo code that models the ADPLL behaviour [3]. The DCO contains fours capacitor banks that are used with three operational modes: process, voltage, and temperature (PVT) variations, acquisition, and tracking. The first two are used during frequency settling and are frozen afterwards. The frequency of the DCO output clock CKV signal is controlled by the Oscillator Tuning Word (OTW), which is a fixed-point digital word, i.e., OTW = OTW I - OTW F,with the fractional part utilized in tracking mode only. The OTW-to-fv dependency is in general nonlinear because of the LC-tank-based structure of the DCO. The slope of the characteristic represents the DCO gain, K DCO (in Hz/LSB), and the step size determines the DCO frequency resolution. It indicates a frequency deviation of K DCO (Hz) from the DCO effective centre frequency f 0, when the OTW is changed by 1 LSB. The finest frequency resolution is utilized when the ADPLL is in tracking mode. Specifically, for 90-nm CMOS and small frequency perturbations around 1.8 GHz, the K DCO is about 12 khz/lsb. However, further resolution improvement is achieved by exercising the fractional part of OTW to dither the tracking bank varactors through high-speed dithering, as shown in Fig.1. The Δ modulator is implemented as a second-order multistage noise-shaping (MASH)-type structure and is described in PVT variations as well as the DCO variable oscillation frequency, are a set of factors that highly affect K DCO in an uncertain way. To minimize the ADPLL dependency on the DCO gain variation, K DCO is algorithmically estimated as K DCO, and the DCO gain is normalized to the stable reference frequency f R, [3].The ADPLL phase-detection mechanism is based on cycle (i.e., phase) accumulation performed at the rising edges of the CKR and CKV clocks. 939

converter (DAC) and a voltage controlled oscillator (VCO). Based on the input code (W), the DAC converts the code to the voltage. Then voltage controls the frequency of the VCO is the same and can act as resistors. So the voltage increases with the increase of the current and fed back to the MDIV and P2D. Fig. 2.Z domain ADPLL model IV. IMPLEMENTATION OF ADPLL The design of such complex PLL digital circuit in PCB is very difficult and only technical will be able to do the connections. But using XILINX and Tanner tools we can easily design any circuits and we can simulate for various values of input supply voltage and wire length. Various front end tools are available to draw the circuit with number of components. A. Phase Frequency Detector(PFD) The Phase Frequency Detector has the input vdd which is applied to the flip-flops and the corresponding clock signals given to the resettable D flip-flops. PFD detector is used to find the phase and frequency difference between the input signals and feedback signal from MDIV. It compares the two signals and detects the phase and frequency. The functional verification of PFD is done by using Xilinx tool.two pulse voltage sources are used as the input signal of PFD, one is input signal of whole ADPLL and the other is treated as the feedback from MDIV. If the REF falls first, the signal UP is high level and the signal DOWN is low level. It indicates that the REF leads the MDIV (or vice versa). If the signals UP and DOWN are both high levels, the two signal both reset to low levels by a feedback reset signal. B. Modified Frequency Divider(MDIV) The MDIV circuit consists of the multiplexer it enables the DIVCLK, with the help of REF signal it reset the DIVCLK using AND, OR gates. It is used to generate the signal parameter F. Hence the frequency is locked in 2 reference clock cycle. The functional verification of MDIV is done by using Xilinx tool. C. Loop Control(LC) The loop control performs two operations when the ADPLL is initialized. First, the LC calculates W 0. Second, it generates based on W locked, by (f1-f2), the algorithm needs two reference cycles to obtain the parameter. From the input MDIV the values, reference cycle are obtained in W 1 and W 2 code. D. Digitally Controlled Oscillator(DCO) The DCO circuit consists of a delay cell. The cell consists of CMOS transistor. It generate five clock which are named as CLK [0] - CLK [4], respectively. The functional verification of DCO is done by using Xilinx tool. The DCO is the combination of a digital-to-analog Fig. 3. Stucture of DCO E. Digitally programmable delay element(dpde) DPDE are required to be monotonic and low power. A low power DPDE monotonic delay characteristic is proposed. To avoid direct current in an output transistor, an extra inverter is introduced. A set of control transistor is connected in series. It consists of load capacitance (C L ), charging network (CN) that provides charging current (IC) and discharging network (DN) that provides discharging current (ID). The delay t d depends on the load capacitor, charging current and the voltage swing across capacitor, V sw. T d = (C L V sw ) / I Delay control is often accomplished by adjusting I, C L or V sw. The fig 7 shows the digitally programmable delay element. Fig. 4.Structure of DPDE F. Ring oscillator(ro) The ring oscillator is a member of the class of time delay oscillators. The fig.9 shows the structure of ring oscillator. A time-delay oscillator consists of an inverting amplifier with a delay element between the amplifier output and its input. The amplifier must have a gain of greater than 1.0 at the intended oscillation frequency. Consider the initial case where the amplifier input and output voltages are momentarily balanced at a stable point. A small amount of noise can cause the amplifier output to rise slightly. After passing through the timedelay element, this small output voltage change will be presented to the amplifier input. The amplifier has a negative gain of greater than 1, so the output will change in the direction opposite to this input voltage. It will 940

change by an amount larger than the input value, for a gain of greater than 1. The square wave will grow until the amplifier output voltage reaches its limits, where it will stabilize. A more exact analysis will show that the wave that grows from the initial noise may not be square as it grows, but it will become square as the amplifier reaches its output limits. The synthesis of ADPLL with Digitally Controlled Oscillator (DCO) and modified ring oscillator are done and it is found that ADPLL with ring oscillator consumes less power compared to ADPLL with DCO. Power (um) 10.2 10.1 10 9.9 9.8 9.7 9.6 9.5 Power Analysis DCO RO DPDE Oscillators Fig.6.Power Result Analysis Area Analysis Fig.5.Ring Oscillator With Variable Delay DPDE, 325.8, 34% DCO, 358.4, 38% G. Digital Loop Filter(DLPF) The circuit consists of the multiplexer and its enables W.when enable is high DPLF turns OFF the frequency modes. The code predicted by the LC (K 1 and K 2 ) is inserted into the DLPF. The functional verification of DLPF is done by using Xilinx tool. When the signal Enable (e) is high level, the code predicted by the LC output (W) is inserted into the integral path of DLPF, the input of the DLPF (out) keeps zero during the frequency acquisition mode. So, when the ADPLL enters the phase acquisition mode, the first code output is generated by DLPF. Then the following codes are decided by the sensed phase error. RO, 261.4, 28% Fig.7.Area Analysis Power Delay Product V. POWER AND DELAY ANALYSIS The power of the DCO, VRO and DPDE has been analyzed as shown in fig. 6. The power consumed by the proposed DCO is given by 10.15596mW. The power consumed by the proposed ring oscillator is given by 9.9012mW. The power consumed by the propose DPDE is 9.740202mW. The area of the DCO, VRO and DPDE has been shown in fig. 7. And the power delay product of DCO, VRO and DPDE has been shown in fig. 8. The ADPLL circuit takes some time period to generate the control bits to frequency and phase modes. The total time delay taken by the clock signals present in the circuit is given as 6.209ns. TABLE 1.1 ESTIMATED PARAMETER ANALYSES PERFORMANCE PARAMETER DCO RING OSCILLATOR DPDE POWER 10.16mW 9.90mW 9.74mW AREA Of THE TRANSISTOR 358.4um 261.40um 325.8um POWER DELAY PRODUCT 6.3452ps 1.3039ps 5.3452ps Delay(ps) 7 6 5 4 3 2 1 0 DCO RO DPDE Oscillators Fig.8.Power Delay Product Analysis VI. CONCLUSION The fast locking ADPLL ensures reduction of the locking time. The fast locking ADPLL is proposed with feed-forward compensation algorithm and reutilizes a frequency divider. The frequency range of the ADPLL is 4 416 MHz the measured results show that the ADPLL can complete frequency locking in 2 reference cycles, when locking to 376 MHz the corresponding frequency 941

locking time is three cycles. The phase locking time power consumption is 11.394mW. However, based on the simulation results, the much larger than the frequency locking times. The largest phase locking time is 19 reference cycles. So the major improvements are found to be possible to enhance the performance of the proposed ADPLL. In DCO block modifying with Digitally Programming Delay Element (DPDE), the power can be reduced, and it used in low power application. In DPDE block, it reduces the error frequently in phase and frequency acquisition mode. Thus the fast and effective locking time can be achieved. In future delay can be reduced the delay and increasing the speed. ACKNOWLEDGEMENT The authors thank the Management and Principal of Sri Ramakrishna Engineering College, Coimbatore for providing excellent computing facilities and encouragement REFERENCE [1] Xin Chen, Jun Yang, A Fast Locking All-Digital Phase-Locked Loop viafeed-forward Compensation Technique IEEE Trans. Very Large Scale Integration(VLSI Systems), vol. 19, no. 5 pp. 857 869, May 2011. [2] R. B. Staszewski, D. Leipold, K. Muhammad, and P. T. Balsara, Digitally controlled oscillator (DCO)-based architecture for RF frequency synthesis in a deep-submicrometer CMOS process, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 50, no. 11, pp. 815 828, Nov. 2003. [3] P.-L. Chen, C.-C. Chung, and C.-Y. Lee, A portable digitally controlled oscillator using novel varactors, IEEE Trans. Circuits Syst. II,Exp Briefs, vol. 52, no. 5, pp. 233 237, May 2005. [4] C.M. Hsu, M. Z. Straayer, and M. H. Perrott, A low-noise wide- BW 3.6-GHz digital fractional-n frequency synthesizer with a Noiseshaping time-to-digital converter and quantization noise cancellation, IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2776 2786,Dec 2003. [5] H.Lee,A.Bensal,:Y.Frans J.Zerbe,S.Sidiropoulos and M.Horowitz, Improving CDR performance via estimation,in IEEE ISSCCDig. Tech papers 2006,pp. 1296-1303. [6] J. Lee and B. Kim, A low-noise fast-lock phase-locked loop with adaptive andwidth control, IEEE J. Solid-State Circuits, vol. 35, no. 8, pp.1137-1145,aug 2000. [7] Kratyuk, V. and Hanumolu, P. K. A Design Procedure for All Digital Phase-Locked Loops Based on a Charge-Pump Phase- Locked Loop Analogy, IEEE Trans. Circuits Syst. II, Exp. Briefs, Vol. 54, No. 3, pp.247 251,2007. [8] Staszewski, R. B. and Balsara, P. T. Phase-Domain All-Digital Phase Locked Loop, IEEE Trans. Circuits Syst. II, Exp. Briefs, Vol. 52, No. 3,p. 159,2005. [9] Watanabe, T. and Yamauchi, S. An All-Digital PLL for Frequency Multiplication by 4 to 1022 with Seven-Cycle Lock Time, IEEE J. Solid-State Circuits, Vol. 38, No. 2, pp. 198 204,2003. [10] Zhang, B. and Allen, P. Feed-Forward Compensated High Switching Speed Digital Phase-Locked Loop Frequency Synthesizer, in Proc. ISCAS, pp. 371 374,1999. [11] Lee, J. And Kim, B. A Low-Noise Fast-Lock Phase-Locked Loop with Adaptive Bandwidth Control, IEEE J. Solid-State Circuits, Vol. 35, No. 8, pp.1137 1145,2000. [12] Olsson, T. and Nilsson, P. A Digitally Controlled PLL For Soc Applications, IEEE J. Solid-State Circuits, Vol. 39, No. 5, pp. 751 759,2004. 942