On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Similar documents
An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

Design of High-Speed Op-Amps for Signal Processing

Operational Amplifier with Two-Stage Gain-Boost

Design of a Folded Cascode Operational Amplifier in a 1.2 Micron Silicon-Carbide CMOS Process

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Design of Analog CMOS Integrated Circuits

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CHAPTER 1 INTRODUCTION

Common Mode Feedback for Fully Differential Amplifier in ami06 micron CMOS process

/$ IEEE

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Active Decap Design Considerations for Optimal Supply Noise Reduction

Rail to Rail Input Amplifier with constant G M and High Unity Gain Frequency. Arun Ramamurthy, Amit M. Jain, Anuj Gupta

Design of High Gain Two stage Op-Amp using 90nm Technology

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

Analysis and Design of High Speed Low Power Comparator in ADC

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

G m /I D based Three stage Operational Amplifier Design

Yet, many signal processing systems require both digital and analog circuits. To enable

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh

Atypical op amp consists of a differential input stage,

55:041 Electronic Circuits The University of Iowa Fall Exam 3. Question 1 Unless stated otherwise, each question below is 1 point.

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

Design for MOSIS Educational Program (Research) Testing Report for Project Number 89742

Revision History. Contents

Effect of Aging on Power Integrity of Digital Integrated Circuits

CHAPTER 3 NEW SLEEPY- PASS GATE

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

Signal Integrity Design of TSV-Based 3D IC

Engineering the Power Delivery Network

Design of a Capacitor-less Low Dropout Voltage Regulator

MICROWIND2 DSCH2 8. Converters /11/00

Microcircuit Electrical Issues

Design and Layout of Two Stage High Bandwidth Operational Amplifier

LSI and Circuit Technologies for the SX-8 Supercomputer

Design and Simulation of Low Dropout Regulator

DAT175: Topics in Electronic System Design

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

ALTHOUGH zero-if and low-if architectures have been

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

Design of Low Voltage Low Power CMOS OP-AMP

Design of a Sample and Hold Circuit using Rail to Rail Low Voltage Compact Operational Amplifier and bootstrap Switching

UNIT-II LOW POWER VLSI DESIGN APPROACHES

EE 501 Lab 4 Design of two stage op amp with miller compensation

Variation-Aware Design for Nanometer Generation LSI

ISSN:

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

An Analog Phase-Locked Loop

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Analysis and Design of High Speed Low Power Comparator in ADC

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10

Analog Filter and. Circuit Design Handbook. Arthur B. Williams. Singapore Sydney Toronto. Mc Graw Hill Education

Design and implementation of two stage operational amplifier

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online):

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A CMOS Low-Voltage, High-Gain Op-Amp

MDLL & Slave Delay Line performance analysis using novel delay modeling

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

AN increasing number of video and communication applications

/$ IEEE

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

Improved DFT for Testing Power Switches

PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology

A Novel Low-Power Scan Design Technique Using Supply Gating

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

AN OFF-CHIP CAPACITOR FREE LOW DROPOUT REGULATOR WITH PSR ENHANCEMENT AT HIGHER FREQUENCIES. A Thesis SEENU GOPALRAJU

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

THE TREND toward implementing systems with low

2. Single Stage OpAmps

A Compact 2.4V Power-efficient Rail-to-rail Operational Amplifier. Strong inversion operation stops a proposed compact 3V power-efficient

Design of CMOS Based PLC Receiver

VLSI Designed Low Power Based DPDT Switch

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

An Improved Recycling Folded Cascode OTA with positive feedback

Transcription:

ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI Nimay Shah UIN: 416008961 nimayshah@gmail.com

ABSTRACT An active decoupling circuit is proposed to suppress on-chip power supply noise in digital VLSI circuits with focus on circuits using low power methodologies like power gating and Dual Vdd. The circuit is designed using 180nm technology and is tested for an ISCAS benchmark for effectiveness in suppressing noise effects as compared to passive decoupling capacitors and previous works. We show a boost of around 33X in the decoupling capacitance values over conventional passive capacitors. The noise rejection is shown to be around 8dB. i

Table of Contents I. INTRODUCTION... 1 A. ACTIVE DECOUPLING PRINCIPLE & MILLER EFFECT... 2 B. ACTIVE DECOUPLING VERSUS PASSIVE DECOUPLING... 4 C. CONTRIBUTIONS... 5 II. PREVIOUS WORK... 6 III. DESIGN METHODOLOGY AND RESULTS... 8 A. OP-AMP DESIGN... 8 B. SIMULATION METHODOLOGY... 11 C. RESULTS... 13 IV. CONCLUSION AND FUTURE WORK... 18 REFERENCES... 19 ii

List of Figures Figure I: Concept of Active Decoupling and Miller Effect... 2 Figure II: Miller Effect... 2 Figure III: Active Decoupling, Figure IV: Passive Decoupling... 4 Figure V: Suppression of Substrate Cross-talk using Active Decoupling, Source: [3]... 6 Figure VI: Reduction of power supply noise in Digital VLSI circuits, Source: [1]... 7 Figure VII: Top-view of the op-amp schematic... 9 Figure VIII: Schematic of the designed op-amp... 10 Figure IX: Gain and Phase Plots of the designed op-amp... 10 Figure X: Experimental Setup... 12 Figure XI: Figure showing the different noise present in simulation... 13 Figure XII: Figure distinguishing between the different noise components... 14 Figure XIII: The filtering result of waveform of figure XII... 14 Figure XIV: Higher effectiveness of Active Decap as compared to Passive Decap as compared to No Decap... 15 Figure XV: Quantitative formulation for noise rejection by the two different methods.. 16 Figure XVI: Waveform showing a 33X reduction in capacitor size... 17 iii

I. INTRODUCTION On-chip supply voltage fluctuations in digital VLSI circuits pose a major threat to robust circuit operation affecting speed, power, noise margin, and long term reliability [1]. In today s deep sub-micron CMOS technology, as devices scale down to smaller feature sizes, have faster switching speeds, and higher integration density, large current spikes due to a large number of simultaneous switching events in the circuit within a short period of time can cause considerable current resistance (IR) drop and Ldi/dt noise over the power-supply network [2]. The wire impedance however does not scale as desired. Power-supply noise degrades the drive capability of transistors due to the reduced effective supply voltage seen by the devices. Power-supply noise may also introduce logic in VLSI circuits, since the noise margin gets lower as the supply voltage scales with the technology. One solution to the power signal integrity issue, as many call it, has been to apply passive decoupling capacitors (Passive Decaps for short). However, now, again with scaled technologies, problems have started creeping up with Passive Decaps [1]. The problems are as under: Gate tunneling leakage: Gate oxide breakdown (10% of Leakage Power) Large die area consumption (15 20% High End Microprocessors). These problems call for a better scheme for noise reduction: Active Decoupling Capacitors (Active Decap). The concept of Active Decap was first used effectively for suppressing crosstalk in deep sub-micron CMOS Mixed-Signal SOCs [3]. Very recently, distributed Active Decaps were used for On-Chip Power Supply Noise Reduction [1]. However, the design used by them was a more general design and we in this project seek to design an Active Decap circuit with noise caused due to power gating and voltage switch in Dual Vdd circuits. 1

Next, we discuss the principle behind the Active Decoupling and how it differs from Passive Decoupling. A concept which is very core to the principle of Active Decoupling is Miller effect is also described. A. Active Decoupling Principle & Miller Effect As shown in figure 1, the capacitor Cload in the feedback loop acts as the Decoupling Capacitor. This capacitance is multiplied by the gain A(ω) through Miller Effect, and therefore, increases the effective capacitance seen between the input terminals. Figure I: Concept of Active Decoupling and Miller Effect The Miller Effect is as described below with reference to figure 2 [4]: Figure II: Miller Effect 2

Consider an ideal voltage amplifier of gain A v with an impedance Z connected between its input and output nodes. The output voltage is therefore V o = A v V i and the input current is and the input impedance is. If Z represents a capacitor, then and the resulting input impedance is. Thus the capacitance is effectively multiplied by the factor (1 A v ). However, since we are using the op-amp in negative feedback configuration, the effective capacitance for our design is (1 + A v ) times C. 3

B. Active Decoupling Versus Passive Decoupling Figure III: Active Decoupling Figure IV: Passive Decoupling Here we shall demonstrate the difference between Active Decoupling and Active Decoupling with the aid of simple configurations shown in Figures III and IV. The noise voltage Vn is coupled through capacitance Cp to node voltage Vc to which decoupling capacitor C is connected [3]. Level of Noise Coupling is given by the ratio Vc / Vn. Vc/Vn = (Cp/C){1+jωC(R+jωL)}/{1+jωCp(R+jωL)}..for passive decoupling Vc/Vn = Cp/[{1+A(ω)}C]...for active decoupling where R and L are parasitics. Thus, Active Decap suppresses Vn more by a factor of (1+A(ω)) than Passive Decap at low frequencies At high frequencies, above parasitic resonant frequencies, the noise coupling increases. 4

C. Contributions By the means of this project, we design a Distributed Active Decoupling Circuit that effectively suppresses power noise with special attention to Power Gating. We also propose ideas that might be effective for the case of Dual Vdd circuits. The reduction power noise is a necessity now, as we scale down to lower technologies and also make the Noise Margins slimmer. Of course, we begin by showing the effectiveness of Active Decoupling Circuits compared with passive decoupling circuits. The rest of the report is organized as follows: Chapter 2 discussed some previous work. Then, in Chapter 3, we describe the designing of the op-amp based on the parameters given in [1] and then suggest ways to modify it to suit our application. Here we also describe out simulation methodology. Based on this background, we discuss the results in Chapter 4 and conclude with suggested future work and conclusions drawn in Chapter 5. 5

II. PREVIOUS WORK As touched upon in Chapter 1, Active Decaps are expected to be used first for noise reduction by [3] to suppress crosstalk in deep sub-micron CMOS Mixed-Signal SOCs. Figure V: Suppression of Substrate Cross-talk using Active Decoupling, Source: [3] Their work can basically be described as: In active decoupling, a guard band suppresses the spread of substrate crosstalk from the digital area to the analog one. The negative input of the op-amp is connected to the guard band, and the positive input is connected to the reference ground line, which leads to the off-chip common ground. The capacitor, C, in the feedback loop of the op-amp acts as a decoupling capacitor. Its capacitance is multiplied by the gain, A(ω), through the Miller effect. The resulting negative feedback causes the guard band to be virtually shorted to the reference ground line. The noise current from the substrate, which is the crosstalk, is thereby absorbed in the op-amp and flows into the pair of power supply lines, Vdd and Vss, rather than into the reference ground line. The ground line is thus kept quiescent, and the guard band is virtually 6

grounded by this stable ground line. In contrast, the noise current does flow into the ground line in capacitor decoupling, often causing a large ground bounce at high frequencies due to the parasitic inductance, L. Therefore, effective decoupling is usually not attained at higher frequencies. Active Decaps were used recently by [1] for reducing power supply noise in Digital VLSI effectively. We shall be using their design specifications as a starting point. We summarize their work here with a diagram. Figure VI: Reduction of power supply noise in Digital VLSI circuits, Source: [1] 7

III. DESIGN METHODOLOGY AND RESULTS The main element of the Active Decoupling Circuit, as far as the designing challenge goes, is the operational amplifier with the desired gain and phase response. We use the same specifications as in [1] for an initial design and then modify it as and when needed. We again state what we seek to achieve. We use the same principles for noise reduction with specific reference to reducing noise in power gating and Dual Vdd VLSI circuits. Power Gating: Noise when transitions in control signal to the power gating transistors Dual Vdd: Noise when there is a switch from one Vdd (say Vdd high / Vddh) to other (say Vdd low / Vddl) As described before, a major part of the noise is produced due to a sudden loading after a clock gating or a power gating event. Henceforth, we address the power gating issue and propose a methodology for the Dual Vdd noise reduction. A. Op-Amp Design The Specifications for the design of the op-amp are: Current: 3.8mA DC Gain: ~20dB BW: ~500Mhz UGF: 2.2 Ghz PM = 40 degrees A signal to function as the On / Off switch 8

Figure VII illustrates a top-view of the design and the figure VIII gives the schematic of our design Figure VII: Top-view of the op-amp schematic The first stage of the op-amp is simply a differential amplifier with a differential pair and a current mirror. The next stage is a source follower which functions as a simple output stage because some of its interesting design parameters. The first step in the designing is to distribute current between the tail of the differential stage and the tail of the source follower. Then, the task remains is to size the other transistors accordingly, ensuring at each step that all the transistors of the op-amp are in saturation region at all times. The Muxes shown in the schematic are to facilitate the on-off facility. When Sel = 0, no biasing goes to the tails and so the active decoupling circuit shuts down. 9

Figure VIII: Schematic of the designed op-amp Figure IX gives the gain and phase plots of the designed op-amp. DC Gain ~ 20dB, -3dB ~ 400Mhz UGF = 2.2 Ghz, PM = 42 degrees Figure IX: Gain and Phase Plots of the designed op-amp 10

B. Simulation Methodology The main points of the simulation methodology are as under: Tested for ISCAS85 Benchmark C432 (160 Gates) Methodology easily scalable to other benchmarks Tests carried out o Random noise generated by power gating control signal, clock like signal given, rise time 50ps - 1nS, period 16ns, tests for other values also carried out, noise generally occurs during rise/fall o Random noise as above + sine wave superimposed on the Vdd signal Benchmark placed and routed using Silicon Ensemble and merged extracted spice netlist with dspf extracted parasitics. Op-amp designed using Virtuoso Schematic Op-amp tested for open loop parameters using cdsspice Whole test-case simulated using Hspice For dual Vdd assumed grid routing for power routing. 11

Figure X summarizes the experimental setup graphically. Control VDD We call this point P1, we monitor this point in all simulations C432 benchmark Figure X: Experimental Setup 12

C. Results We now summarize the simulation results. Noise due to inputs transitioning Noise due to power gating control signal transitioning Figure XI: Figure showing the different noise present in simulation The waveform in figure XI shows noise generated due to a) inputs transitioning and b) power gating. The approximate value of the noise signal is 0.06Vpp. The next waveform distinguishes the noises present in to high frequency and low frequency noises. The next waveform in figure XIII shows that if we use the same design as [1], we can effectively filter out the low frequency noise components. However, there is not much effect on the high frequency noise components. This tells us that we need to improve the design so that we can apply it to the power gating noise reduction application. 13

Low Frequency Noise Components High Frequency Noise Components Figure XII: Figure distinguishing between the different noise components Figure XIII: The filtering result of waveform of figure XII 14

Figure XIV: Higher effectiveness of Active Decap as compared to Passive Decap as compared to No Decap. 15

In Figure XIV, we consider a noise peak and compare active and passive decoupling. As indicated by the legend, the topmost curve is original noise, the one below it is noise after passive decap and the last one is with active decap. Now, we quantitatively show the higher effectiveness of Active Decoupling. In figure XV, we calculate the noise rejection in dbs. Quantitatively, noise rejection for active decap case = -7.95dB and for passive decap = -1.93dB Figure XV: Quantitative formulation for noise rejection by the two different methods 16

Finally in figure XVI, we show the 33X reduction in capacitor size which leads to considerable area savings. Here we see that a Passive Decap of 1000fF is almost as effective as an Active Decap with a capacitor of 30fF in its feedback loop. An approximate calculation using Vc/Vn equations discussed before indicates an A(ω) of 15dB Figure XVI: Waveform showing a 33X reduction in capacitor size 17

IV. CONCLUSION AND FUTURE WORK To summarize, we can conclude the following: Active Decoupling reduces noise more effectively and with lesser area overhead in case of power gating Op-Amp design needs modifications for accommodating high frequency noise rejection This is brought about by a gain and bandwidth tradeoff by adjusting the feedback resistance of second pass transistor Gain can be increased by replacing the output stage (source follower right now) to some other stage like a common source configuration Also, we can say that the Dual Vdd noise rejection is a bigger challenge based on the following observation: If the active decap is kept always ON, it will sense the transition from one Vdd to the other as noise too and because of the large RC time-constant this transition will be slowed down A possible solution to this problem can be to use a signal to selectively switch on and switch off the active decap circuit. A straightforward signal could be a delayed version of the control signal used for Vdd switching and have different active decap circuits for both Vdds. However, we have to be careful. We also need to take steps to avoid crosstalk between the two active Vdd circuits. The ON / OFF concept can be taken from [1], also used for power optimization of the active decap circuits. Also we can try and make the circuit more active by trying out the Charge Pump method. That also might be a candidate solution to the Dual Vdd challenge. 18

We call C432 this bench REFERENCES [1] J. Gu, et al., Distributed Active Decoupling Capacitors for On- Chip Supply Noise Cancellation in Digital VLSI Circuits, in 2006 Symposium on VLSI Circuits Digest of Technical Papers [2] S. Zhao, et al., Decoupling Capacitance Allocation and Its Application to Power-Supply Noise-Aware Floorplanning, in IEEE Trans. On Computer Aided Design of Integrated Circuits and Systems, Vol. 21, No. 1, January 2002, pp. 81 92 [3] T. Tsukada, et al., An On-Chip Active Decoupling Circuit to Suppress Crosstalk in Deep-Submicron CMOS Mixed-Signal SoCs, in IEEE J. of Solid-State Circuits, vol. 40, no. 1, pp. 67 79, Apr. 2005 [4] www.wikipedi.org 19