Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Similar documents
Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

EEC 118 Lecture #12: Dynamic Logic

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Power-Area trade-off for Different CMOS Design Technologies

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

UNIT-III GATE LEVEL DESIGN

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

EE434 ASIC & Digital Systems

Combinational Logic Gates in CMOS

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

IJMIE Volume 2, Issue 3 ISSN:

Low-Power Digital CMOS Design: A Survey

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

Leakage Current Analysis

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

UNIT-II LOW POWER VLSI DESIGN APPROACHES

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

Electronics Basic CMOS digital circuits

ECE380 Digital Logic. Logic values as voltage levels

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

Domino Static Gates Final Design Report

Electronic Circuits EE359A

CMOS Digital Integrated Circuits Analysis and Design

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

Low Power, Area Efficient FinFET Circuit Design

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

EE241 - Spring 2002 Advanced Digital Integrated Circuits

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Performance Comparison of High-Speed Adders Using 180nm Technology

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Contents 1 Introduction 2 MOS Fabrication Technology

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design

Introduction to Electronic Devices

Investigation on Performance of high speed CMOS Full adder Circuits

MOS Logic and Gate Circuits. Wired OR

Jan Rabaey, «Low Powere Design Essentials," Springer tml

EC 1354-Principles of VLSI Design

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits

International Journal of Advanced Research in Computer Science and Software Engineering

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

Performance Evaluation of Adders using LP-HS Logic in CMOS Technologies

Practice 6: CMOS Digital Logic

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

2-Bit Magnitude Comparator Design Using Different Logic Styles

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

A Novel Low-Power Scan Design Technique Using Supply Gating

A Survey of the Low Power Design Techniques at the Circuit Level

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha

VLSI Logic Structures

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

DESIGN OF CARRY LOOK AHEAD ADDER USING SUB THRESHOLD DUAL MODE LOGIC

Lecture 9: Clocking for High Performance Processors

CHAPTER 3 NEW SLEEPY- PASS GATE

Integrated Circuits & Systems

IMPLEMENTATION OF LOW POWER AND LOW ENERGY SYNCHRONOUS SAPT LOGIC

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

Chapter 2 Combinational Circuits

ECE/CoE 0132: FETs and Gates

Design & Analysis of Low Power Full Adder

EE141-Spring 2007 Digital Integrated Circuits

Power Efficient and Noise Immune Domino Logic for Wide Fan in Gates

Circuits in CMOS VLSI. Darshana Sankhe

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC

Department of Electrical and Computer Systems Engineering

Ultra Low Power VLSI Design: A Review

Design of Multipliers Using Low Power High Speed Logic in CMOS Technologies

of Tech in & Embe by

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

Transcription:

Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

A brief introduction to Dynamic logic Dynamic logic Steady-State Behavior of Dynamic Logic Performance of Dynamic Logic Noise Considerations in Dynamic Design 11/28/2012 2

Dynamic Latch: Charge Leakage Stored charge leaks away due to reverse-bias current. Stored value is good for about 1 ms. Value must be rewritten to be valid. If not loaded every cycle, otherwise it must be ensured that the latch is loaded often enough to keep data valid. D X Cd+Cg X 11/28/2012 3

Dynamic Latch-Operation Uses complementary transmission gate to ensure that storage node is always strongly driven. Latch is transparent when transmission gate is closed. Storage capacitance comes primarily from transmission gate diffusion capacitance and inverter gate capacitance. = 0: transmission gate is off, inverter output is determined by storage node. = 1: transmission gate is on, inverter output follows D input. Setup and hold times determined by transmission gate must ensure that value stored on transmission gate is solid. 11/28/2012 4

Dynamic Combinational Logic Precharge/ Evaluate Networks V DD V DD M p Out M p In 1 In 2 In 3 PDN C L In 1 In 2 In 3 PUN Out M e M e C L n network p network 11/28/2012 5

Example of Dynamic Circuit OUTPUT A B CLK C CLK OUTPUT Precharge Evaluation Precharge 11/28/2012 6

General Concept Precharge and Evaluation Mp precharge transistor OUTPUT A B CLK ф C Me Evaluation transistor CLK OUTPUT Precharge Evaluation Precharge Example of nmos block For OUTPUT= (A.B + C) 11/28/2012 7

Charge and discharge Clock, ф A B C Output 11/28/2012 8

Overcoming the charge leakage and the charge sharing Mp OUTPUT A B CLK ф Me C 11/28/2012 9

Example continue V DD M p Out N + 1 Transistors Ratioless No Static Power Consumption A B C Noise Margins small (NM L ) Requires Clock M e 11/28/2012 10

Charge Leakage 11/28/2012 11

Charge Sharing 11/28/2012 12

Clock Feed through 11/28/2012 13

Cascading Dynamic Logic 11/28/2012 14

V o u t ( V o l t ) Transient Response 6.0 V out 4.0 EVALUATION PRECHARGE 2.0 0.0 0.00e+00 2.00e-09 4.00e-09 6.00e-09 t (nsec) 11/28/2012 15

4 Input NAND V DD Out In 1 In 2 In 3 In 4 GND 11/28/2012 16 Prentice Hall/Rabaey

Dynamic Flip-Flop D X x Y Q X X Y Q 11/28/2012 17

P-E logic Instead of using a static invert to ensure that 0 to 1 transitions occur during precharge, we can exploit the duality between n- block and p-block. The precharge output value of n- block equals 1, which is the correct value for the input of a p-block during precharge. All PMOS transistors of the Pull-Up Network (PUN) are turned off, so, an erroneous discharge at the on set of the evaluation phase is prevented. In a similar way, an n- block can follow a p-block without any problem, as the precharge value of inputs equals 0. To make the evaluation and precharge times of the p and n-block coincide, one has to clock the p-block with an inverted clock p. 11/28/2012 18

PE Logic V DD V DD M p Out M p In 1 In 2 In 3 PDN C L In 1 In 2 In 3 PUN Out M e M e C L n network p network 11/28/2012 19

Domino logic A Domino logic module consists of a n block followed by a static inverter. This ensures that all inputs to the next logic block are set to 0 after the precharge periods. Hence, the only possible transition during the evaluation period is 0 to 1 transition, so that formulated rule is obeyed. 11/28/2012 20

The block of Domino logic 11/28/2012 21

One Bit full Adder-Domino 11/28/2012 22

Simulation Results 11/28/2012 23

Multiple O/P Domino Logic The main concept behind MODL is the utilization of sub-functions available in the logic tree of domino gates, thus saving replication of circuitry. The additional ouputs are obtained by adding precharge devices and static inverters at the corresponding intermediate nodes of the logic tree. 11/28/2012 24

Multiple Output Domino C 1 = G 1 + P 1 C 0 C 2 = G 2 + P 2 C1 C 3 = G 3 + P 3 C2 C 4 = G 4 + P 4 C3 Expanding the above in terms of C1,C2,C3: C 1 = G 1 + P 1 C 0 C 2 = G 2 + P 2 (G 1 + P 1 C 0) C 3 = G 3 + P 3 (G 2 + P 2 (G 1 + P 1 C 0)) C 4 = G 4 + P 4 (G3 + P 3 (G 2 + P 2 (G 1 + P 1 C 0))) Expanding it fully C 1 = G 1 + P 1 C 0 C 2 = G 2 + P 2 G 1 + P 2 P 1 C o C 3 = G 3 + P 3 G 2 + P 3 P 2 G 1 +P 3 P 2 P 1 C 0 C 4 = G 4 + P 4 G 3 + P 4 P 3 G 2 +P 4 P 3 P 2 G 1 + P 4 P 3 P 2 P 1 C 0 11/28/2012 25

Multiple output Domino 11/28/2012 26

MODL 4-bit Carry Block C 1 = G 1 + P 1 C 0 C 2 = G 2 + P 2 G 1 + P 2 P 1 C o 11/28/2012 C 3 = G 3 + P 3 G 2 + P 3 P 2 G 1 +P 3 P 2 P 1 C 0 27 C 4 = G 4 + P 4 G 3 + P 4 P 3 G 2 +P 4 P 3 P 2 G 1 + P 4 P 3 P 2 P 1 C 0

2-Phase Logic We can use two-phase clock to control logic transition similar to PE. A single clock (phi1 or phi2) is used to precharge and evaluate the logic block. The succeeding stage is operated on the opposite clock phase. A latch is needed between two stages. 11/28/2012 28

Ø1 Evaluation Precharge Evaluation Precharge Ø2 Evaluation Precharge Evaluation Precharge ф1 Ф2 Ф1 Ф2 From ф2 stage ф1 ф1 n-logic ф2 ф2 n-logic To ф1 stage Ф1 Ф2 11/28/2012 29

2-Phase Domino logic 11/28/2012 30

NORA Logic Combining C 2 MOS pipeline register and P-E CMOS dynamic logic function block, we get NORA-CMOS (mean NO-Race). The method is suitable for the implementation of pipelined datapaths. 11/28/2012 31

The block of NORA logic CMOS INVER TER 11/28/2012 32

Cascode Logic Further refinement leads to a clocked version of the CVSL gate. This is really just two Domino gates operating on the true and complement inputs with a minimized logic tree. The advantage of this style of logic over domino logic is the ability to generate any logic expression, making it a complete logic family. This is achieved at the expense of the extra routing, active area, and complexity associated with dealing-rail logic. 11/28/2012 33

CASCOD Logic 11/28/2012 34

Comparison of 8-bit Adders Designed with Dynamic Logic Seven circuits using six dynamic logic functions are designed and simulated. The performance in terms of power, area, delay, energy and AT 2 are compared. 11/28/2012 35

Dynamic Logic Adders that are designed and compared Domino logic 8-bit Adder P-E logic 8-bit Adder NORA logic 8-bit Adder 2-Phase Logic 8-bit Adder Multiple O/P Domino Logic 8-bit Adder Cascode Logic 8-bit Adder 11/28/2012 36

Power 11/28/2012 37

Area 11/28/2012 38

Delay 11/28/2012 39

DP 11/28/2012 40

AT 2 11/28/2012 41

Conclusion Domino Logic: It has minimum area and number of transistors. The power consumption is low, and the delay is the longest. The DP and AT 2 are average. If the design goal is minimum area and speed is a secondary concern the Domino logic is the best structure for Ripple Carry Adder. 11/28/2012 42

Conclusion. P-E Logic: has a small area and the minimum number of transistors. The power consumption is low, and the delay is short. It has the lower DP and AT 2 for Ripple Carry Adder. If the logic has no inherent race problem, it will be the best choice for Ripple Carry Adder. 11/28/2012 43

Conclusion. P-E (race-free) Logic: In order to avoid the race condition of P-E Logic, the P-E (racefree) Logic is introduced. It has a small area and average of number of the transistors. The area and number of transistors is larger than P-E logic. The power consumption is average. The delay is shortest. It has lower DP and AT 2 for Ripple Carry Adder. For synthesis, it is the best choice for Ripple Carry Adder. 11/28/2012 44

Conclusion. NORA Logic: The power consumption is higher. The area is small, and using a few transistors except Domino logic. The delay is longer. The DP is high and AT 2 are average. 11/28/2012 45

Conclusion. 2-Phase Logic: The area is larger and the number of transistors is more than others except Cascode logic. The delay is longer. The power consumption, DP and AT 2 are extremely high. Try to avoid this logic structure for designing Ripple Carry Adder. 11/28/2012 46

Dynamic Circuits: Advantages & Disadvantages Advantages: Circuits occupy less area than the static circuits Circuits Operate at higher speed than static CMOS Circuits are Noise sensitive Drawbacks: Affected by charge sharing and charge re- distribution Always require clocks Cannot operate at low frequency Design is not straight forward 11/28/2012 47

FINAL WORD Thank you for being good students. I hope you have learned something in this class, that it will be useful in your future endeavor. Always go to the root of any problem that you are solving, whether engineering or social. Be a Good engineer, Never forget your Engineering ethics. Always keep your mind open to new ideas and development, and have vision as were the world is heading and try to be there before others. Do NOT forget the environment. Be a team player. Always be a dignified Engineer, respect yourself and other people s dignity. Be just to yourself and give justice to others. Always 11/28/2012 Have good intentions with your thinking, 48 actions and speaking. THANK YOU

Ф1 ф2 ф1 ф2 From ф2 stage Ф1 block Ф1 ф2 Ф2 block To ф1 stages Ф Ф1 ф2 11/28/2012 49

2-phase domino logic 11/28/2012 50