Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

Similar documents
On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

On the Interaction of Power Distribution Network with Substrate

Signal integrity means clean

Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

Via Stitching. Contents

DATASHEET CADENCE QRC EXTRACTION

through Electrical Performance Assessment Principal AE Aug

Chapter 3 Chip Planning

Signal Integrity Management in an SoC Physical Design Flow

Managing Cross-talk Noise

System Co-design and optimization for high performance and low power SoC s

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT

Ruixing Yang

Figure 1. Inductance

Impact of Low-Impedance Substrate on Power Supply Integrity

High Temperature Mixed Signal Capabilities

THROUGH-SILICON-VIA (TSV) is a popular choice to

Microcircuit Electrical Issues

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

LSI Design Flow Development for Advanced Technology

Low Power Design Methods: Design Flows and Kits

Lecture 23 Encounter in Depth and Conclusion

UNIT-III POWER ESTIMATION AND ANALYSIS

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

IFSIN 4.- SUBSTRATE MODELING SUBSTRATE COUPLING

Substrate noise full-chip level analysis flow from early design stages till tapeout

Placement and Routing of RF Embedded Passive Designs In LCP Substrate

Design Challenges and Solutions for Ultra-High-Density Monolithic 3D ICs

Lecture 10. Circuit Pitfalls

Analysis of Ground Bounce Induced Substrate Noise Coupling in a Low Resistive Bulk Epitaxial Process:

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter

On-Chip Inductance Modeling

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices)

An Efficient PG Planning with Appropriate Utilization Factors Using Different Metal Layer

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

LM148/LM248/LM348 Quad 741 Op Amps

Measurement Results for a High Throughput MCM

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

Layout-Oriented Synthesis of High Performance Analog Circuits

Basic Layout Techniques

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Relationship Between Signal Integrity and EMC

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Substrate Noise Isolation Improvement by Helium-3 Ion Irradiation Technique in a Triple-well CMOS Process

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

BASICS: TECHNOLOGIES. EEC 116, B. Baas

Ring Oscillator PUF Design and Results

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Design and implementation of LDPC decoder using time domain-ams processing

An Analog Phase-Locked Loop

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble

Interconnect-Power Dissipation in a Microprocessor

AN ABSTRACT OF THE THESIS OF. Hui En Pham for the degree of Master of Science in. Electrical and Computer Engineering presented on August 24, 2004.

Leakage Power Minimization in Deep-Submicron CMOS circuits

EMI. Chris Herrick. Applications Engineer

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM


Low-Power Digital CMOS Design: A Survey

Supply Voltage Degradation Aware Analytical Placement

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors

EMC Simulation of Consumer Electronic Devices

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Integrated Power Delivery for High Performance Server Based Microprocessors

Sticks Diagram & Layout. Part II

Fast Placement Optimization of Power Supply Pads

ECE 546 Introduction

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

The Physical Design of Long Time Delay-chip

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits

Signal Integrity Design of TSV-Based 3D IC

Numerical models of MOS devices and modelling methodology of physical effects in IC substrates.

Modeling System Signal Integrity Uncertainty Considerations

Inspector Data Sheet. EM-FI Transient Probe. High speed pulsed EM fault injection probe for localized glitches. Riscure EM-FI Transient Probe 1/8

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Using GoldenGate to Verify and Improve Your Designs Using Real Signals

TN ADC design guidelines. Document information

S L YSTEMS. Power Train Scaling for High Frequency Switching, Impact on Power Controller. By Dr. Sami Ajram

DETERMINING CELL SIZE IN EMSIGHT

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

GaN HEMT SPICE Model Standard for Power & RF. Samuel Mertens MOS-AK Workshop Washington, DC December 9, 2015

User2User The 2007 Mentor Graphics International User Conference

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

Dynamic Threshold for Advanced CMOS Logic

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Transcription:

Noise Constraint Driven Placement for Mixed Signal Designs William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

Introduction OUTLINE Substrate Noise: Some Background Substrate Noise Network Model Virtuoso Custom Placer (VCP) Substrate Noise Constrained Placement Integrated SEISMIC VCP Flow Study Example Conclusion and Future Work 2

Introduction Increasing larger number of SOCs where digital, analog and RF functions are all integrated on a single chip substrate. In the deep submicron regime SIGNAL INTEGRITY issues are increasingly becoming more critical. One of the significant signal integrity problems in mixed signal designs today is the handling of noise coupled through the common substrate and power supplies which is caused by signal switching inside the digital section and affecting sensitive analog circuitry. In this paper, we propose a solution to constrain noise coupling through the substrate based on an analysis-optimization loop between: - the substrate analysis capabilities of SeismIC [5] and - the placement capabilities of Virtuoso Custom Placer [6]. 3

What is Substrate Noise? Substrate noise modulates device threshold voltage, shifts operating point. Noise coupling of digital switching currents to sensitive analog devices. Substrate noise manifests itself in signal path and affects performance. 4

Why are Substrate Noise problems getting worse? SOC requirements of getting digital, analog and RF on the same chip. Design scaling: noisy and quiet nets getting packed closer together. Lower supply voltages. Lower noise margins on analog components. Faster clock frequencies and slew rates. 5

Substrate RC Mesh Network VSS... Substrate Contact Current Injectors Guard Ring Well Tap VDD Model substrate as a distributed medium. Add switching current macromodels at noise source locations. Perform analytical simulation including all injected currents. Voltage response at bulk node of interest is desired: V b (s) = z k (s)i k (s) k 6

Identifying Noise Contributors v c (s) = z c1 (s).i 1 (s) + z c2 (s).i 2 (s) + z c3 (s).i 3 (s)+ => v c (t) = z c1 (t)*i 1 (t) + z c2 (t)*i 2 (s) + z c3 (t)*i 3 (t)+ Given critical device, c and noise source, j, noise contribution at c from source j, v cj = z cj. i j i 1 i 2 i3 c i 1.z c1 i 2. z c2 v c = i 1.z c1 +i 2. z c2 + i 3. z c3 i 3. z c3 high medium low 7

Substrate Noise Network Model Current sources (a in Fig. 1) are used to model charge injected into substrate via device drain/source diffusions. The contacts and guard rings are modeled by r c, r g and packaging inductors (b in Fig. 1). So the packaging induced noise at the substrate ties can be calculated by the simulation engine. Ideal voltmeters V n (c in Fig. 1) are used to detect voltages at relevant substrate nodes and are used to calculate the substrate noise related penalty terms in the form min (0, V n - V target ). The substrate itself can generally be represented as a network grid (d in Fig.1) composed of impedances Z s. Figure 1 8

Virtuoso Custom Placer Automatic placement of custom analog, mixed signal and high performance digital circuits is a challenging task. It is also impossible to rely solely on manual custom layout design to remain performance competitive. The Virtuoso Custom Placer (VCP) was developed by combining interactive and automated placement to enhance productivity without sacrificing custom performance. VCP s placement process consists of 4 basic steps: 1. Data Preparation where the netlist and constraints are read in from the database and constraint manager. 2. Global Placement. It starts by using iterative quadratic/mincut methods to recursively bipartition components into different regions. The goal is to find an overall violation-free placement and spread all components into the defined placeable area. 3. Detail Placement. Using annealing based methods it refines the initial placement to obtain a better placement based on the costs defined by the user. 4. Congestion Estimation and Spacing Adjustment: To make the placement routable and reduce the overall wire length, there is a need to identify where the congested spots are and make room for routing. 9

Substrate Noise Constrained Placement Minimize Total Area and Wirelength constrained by [ F min ] < [ F(P)] < [F max ] (3) where P is the placement instance and F(P) represents the noise constraint which is affected by the placement instance P. The constrained multi-objective optimization problem above is converted to the unconstrained single objective problem: Mimimize: w 1 Area + w 2 Wire Length + w 3 Constraint Violations, where w 1, w 2 and w 3 are weighting functions. 10

Integrated SEISMIC VCP Flow Figure 3 11

Study Example: Fig 4. Initial placement (Vn at Cell A =22mV) 12

Study Example: Fig 5. Placement after few Iterations (Vn = 19mV) 13

Study Example: Fig 6. Final solution with Guard-ring added (Vn = 6.2mV). 14

Conclusion and Future Work We have presented a constraint driven placement flow which addresses the problem of substrate coupled switching noise in Mixed Signal designs. It is accomplished by utilizing: - the substrate noise modelling and analysis capabilities in SeismIC and - coupling it to the Virtuoso Custom Placer in an analysis and optimization loop. Further work would be to extend this flow to include other signal integrity issues such as crosstalk, EM, IR and thermal effects. 15