Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Similar documents
Challenges of EUV masks and preliminary evaluation

Lithography. International SEMATECH: A Focus on the Photomask Industry

Part 5-1: Lithography

Major Fabrication Steps in MOS Process Flow

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

for alternating phase shift mask fabrication

Development of Nanoimprint Mold Using JBX-9300FS

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Photolithography I ( Part 1 )

Process Optimization

Mask Technology Development in Extreme-Ultraviolet Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

2009 International Workshop on EUV Lithography

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Photolithography Technology and Application

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Optical Issues in Photolithography

New CD-SEM System for 100-nm Node Process

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Progresses in NIL Template Fabrication Naoya Hayashi

Registration performance on EUV masks using high-resolution registration metrology

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

CD-SEM for 65-nm Process Node

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Holistic View of Lithography for Double Patterning. Skip Miller ASML

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EUV Substrate and Blank Inspection

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Near-field optical photomask repair with a femtosecond laser

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Photolithography 光刻 Part I: Optics

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

MICROCHIP MANUFACTURING by S. Wolf

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Recent Development Activities on EUVL at ASET

Nikon F2 Exposure Tool

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Mask magnification at the 45-nm node and beyond

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Lecture 13 Basic Photolithography

State-of-the-art device fabrication techniques

Optical Microlithography XXVIII

Optimizing FinFET Structures with Design-based Metrology

Organic Photoconductors for Printers

Competitive in Mainstream Products

Update on 193nm immersion exposure tool

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Optolith 2D Lithography Simulator

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Inspection of templates for imprint lithography

Lecture 5. Optical Lithography

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Lecture 8. Microlithography

Newer process technology (since 1999) includes :

EE 143 Microfabrication Technology Fall 2014

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

MICRO AND NANOPROCESSING TECHNOLOGIES

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Quantized patterning using nanoimprinted blanks

Pulsed Laser Ablation of Polymers for Display Applications

DOE Project: Resist Characterization

Contrast Enhancement Materials CEM 365HR

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Lithography in our Connected World

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Optical Maskless Lithography (OML) Project Status

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

Optical Maskless Lithography - OML

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

Managing Within Budget

Contrast Enhancement Materials CEM 365iS

Intel Technology Journal

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

What s So Hard About Lithography?

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

UV GAS LASERS PREPARED BY: STUDENT NO: COURSE NO: EEE 6503 COURSE TITLE: LASER THEORY

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Design Rules for Silicon Photonics Prototyping

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Transcription:

Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device miniaturization, the frequency of technology roadmap renewal has increased by a factor of three from once every three years to once a year. It is not possible to cope with fabrication of high-density semiconductor devices simply by reducing the pattern size of the mask because aggressive pattern shrink on the photomask will lead to deterioration of the resist pattern when transferred to the silicon wafer surface in the exposure process. To tackle this problem, OPC (optical proximity correction) features are added to the photomask, which results in increased complexity and miniaturization in the photomask-making process. Consequently, the volume of mask-pattern data is growing drastically, and the time required for mask-defect inspection and mask-writing processes keeps increasing. Moreover, the need to achieve outstanding process accuracy raises the costs of mask production and inspection tools. Such a drop in mask throughput and the increase in cost of materials and tools seriously affects the costs of photomasks. Step-and-scan-exposure systems adopted ArF lithography in 1999, however, ArF lithography systems, including resist, are still under evaluation and development. From 2 to 21, the feature sizes of semiconductor devices will be further reduced to.13 µm while it is clear that KrF lithography will remain dominant. Challenges of the photomask Photomask technology is currently facing a number of challenges in various fields. The major challenges are listed below: 1) material 2) volume of mask-pattern data 3) mask exposure and mask-fabrication process 4) inspection and measurement (quality assurance) 5) cost and delivery Cost will be particularly important in the future of mask production. The drop in mask throughput mentioned above is a primary factor affecting cost. Improvement of accuracy is another challenge along with mask pattern miniaturization. For accuracy, it is critical to come up with a solution to the fluctuation of line width, which constitutes a more serious problem as mask patterns get finer. Fluctuations of mask-pattern dimensions have a multiplied pattern profile impact on the wafer surface, which is called MEEF (Mask Error Enhancement Factor). To be more specific, a change of pattern dimensions on the photomask is multiplied by a factor of two to three times when the pattern is transferred to the wafer surface in the exposure process. The PSM (phase-shift mask) is capable of considerably reducing the MEEF effect, tolerating fluctuation of mask pattern dimensions to some extent. In this sense, the PSM is effective in suppressing mask costs. In general, accuracy of mask fabrication is primarily determined by mask writer and manufacturing process procedure. As for the PSM, however, material selection is a dominant factor for accuracy. For inspection and measurement of the photomask, the major challenges are improvement of detection sensitivity in defect inspection and the establishment of PSM inspection Autumn 2 Yield Management Solutions 41

technology. Along with further device miniaturization, it also is necessary to improve the performance of the CD (critical dimension) measurement tools. Needs for resolution enhancement technology (RET) If KrF lithography is employed for.13 µm device production, the ratio of exposure wavelength to dimension of resist pattern on wafer surface will be almost 2:1; and, binary masks with OPC will be unable to achieve the required level of resolution or depth of focus. It will be necessary, therefore, to introduce the PSM. The alternating PSM (alt-psm) is capable of achieving high resolution of one-half of exposure wavelength, but it has not yet been actively adopted because technologies for defect inspection and mask repair need to be improved prior to its introduction. On the other hand, the attenuated PSM (att-psm) has already been adopted in commercial production because the conventional mask inspection and repair technologies used for the binary mask process can be applied as they are. The attenuated PSM, which generally uses shifter film that transmits three to eight percent of excimer laser source, has mainly been applied to the to fabrication of contact holes. This technology is significantly superior to the conventional binary mask technology in terms of depth of focus, but it is not as effective in improving resolution. Alt-PSM, on the other hand, is effective in improving depth of focus and resolution. In order to improve the performance of att-psm to the level of alt-psm, the transmissivity of the shifter material needs to be increased. With the conventional att-psm, however, transmissivity of an excimer laser source cannot be set at a high level because such a choice raises the transmissivity of inspection wavelength too high to conduct inspection. In an attempt to overcome this difficulty, a new shifter material has been developed: zirconium silicon oxide (ZrSiO). Using ZrSiO shifter film, att-psm is able to suppress the transmissivity of light from the defect inspection tool below an upper limit enabling inspection for quality assurance. Figure 1 shows RET (resolution enhancement technology) applicable to each lithography technology. It is possible to roughly estimate which RET is applicable by referring to the ratio of exposure wavelength to the dimension of resist pattern on wafer surface. For the.13 µm device to be developed in the near future, it is highly likely att-psm with high transmissivity will be adopted. Att-PSM should also be an effective technique for ArF lithography, when pattern dimensions are reduced to about two-thirds of wavelength. For ULSI requiring much higher resolution, alt-psm will be absolutely necessary. If resolution cannot be raised high enough, an alternative excimer laser source with shorter wavelength must be adopted. F 2 laser lithography featuring wavelength of 157 nm, combined with ultrahigh resolution technology such as PSM, is expected to achieve as high a resolution as 7 nm. Node 18nm 13nm 1nm 7nm KrF Lithography Feature size / Wavelength Ratio 73% 53% 4% 28% Binary OPC / Serif OPC / Assist Bar Att-PSM* 3 8% 15 25% Alt-PSM** Shifter Edge Type (Logic Gate) Shifter Edge Type (Logic Gate) Hidden Shifter Type (Memory) ArF Lithography Feature size / Wavelength Ratio 93% 67% 52% 36% Binary OPC Serif OPC / Assist Bar Att-PSM 3 8% 15 25% Alt-PSM Shifter Edge Type (Logic Gate) Shifter Edge Type (Logic Gate) Hidden Shifter Type (Memory) F 2 Lithography Feature size / Wavelength Ratio 115% 83% 64% 45% Binary OPC / Assist Bar Att-PSM 3 8% 3 8% 15 25% Alt-PSM Shifter Edge Type (Logic Gate) Hidden Shifter Type (Memory) High-transmission and Tri-tone type Att-PSM *Att-PSM = Attenuated Phase Shifting Mask **Alt-PSM = Alternating Phase Shifting Mask Figure 1. RET reticles by wavelength and by technology node. 42 Autumn 2 Yield Management Solutions

3. 1. Phase Change (deg.) 2. 1. -1. -2. TF.AF Target Transmittance Change (%).5 -.5 TF.AF Target -3. 5 1 15 2 25 3 35 Total Energy (kj/cm 2 ) -1. 5 1 15 2 25 3 35 Total Energy (kj/cm 2 ) Figure 2. Phase shift change (a) and transmissivity change (b) of ZrSiO-based att-psm as a function of ArF excimer laser irradiation. We report performance of att-psm using ZrSiO shifter film that is capable of suppressing transmissivity of inspection wavelength. Development of ZrSiO-based attenuated PSM In the photomask field, the conventional chromium binary mask is increasingly replaced by the OPC mask, alt-psm and att- PSM. In particular att-psm attracts attention as it is more suitable than others for volume production. For att-psm, it is necessary to expand the range of transmissivity from 8 to 2 percent. Conventional materials such as MoSi and CrF, however, cannot secure adequate transmissivity for inspection wavelength due to their physical properties. Moreover, these materials cannot be applied to the photomask for ArF lithography due to their excimer laser resistance and spectral characteristics. Various materials and structures of photomask have been investigated to develop a photo-mask featuring high transmissivity that can be applied to three generations of lithography: KrF, ArF and F 2. Bi-Layer ZrSiO with Cr and resist EB exposure and development Cr etching Bi-Layer ZrSiO dry etching Resist remove Results Zirconium was first selected as a next-generation PSM material because it features strong ArF laser resistance. Zirconium-type materials were found far more resistant The Structure of ZrSiO Att-PSM EB resist Cr ]Bi-Layer ZrSiO Qz Transparent Film Cr Attenuated Film Figure 3: Structure and fabrication process of ZrSiO-based att-psm. Resist coating EB exposure and development Cr etching Resist remove Tri-Tone Type Autumn 2 Yield Management Solutions 43

to ArF laser than materials used for the conventional att-psm materials. Figure 2 shows phase shift and transmissivity change as a function of ArF excimer laser irradiation. Laser irradiation conditions were set based on the assumption that mask lifetime was three years. Specifically, total irradiation was set at 3 kj/cm 2 (or.2mj/cm 2 /pulse). Under these conditions, ZrSiO-based att-psm was found effective in suppressing the change of phase shift below.5 and transmissivity below.2 percent. Figure 3 shows structure and manufacturing process of ZrSiO-based att-psm. On quartz glass, shifter film is formed by stacking attenuated film (AF) with low oxygen concentration for transmissivity modulation and oxygen-rich transparent film (TF) for phase modulation. Chromium (Cr) opaque film is stacked on top of the shifter film. The shifter film is composed of two layers in order to lower transmissivity not only of excimer laser from the exposure tool with wavelength of 193 nm, but also of light from measurement and inspection tools featuring wavelengths of 365 nm, 488 nm, and 55 nm. For tri-tone-type att-psm in which Cr patterns are used to shield light for part of half-tone patterns, an overlay process is conducted to fabricate patterns on the Cr opaque film. This process, however, is the same as the conventional MoSi-based att-psm manufacturing process. Figure 4 shows spectral characteristics of ZrSiO-based att-psm blank which features ArF transmissivity of six percent. Transmissivity of light with wavelength of 365 nm is suppressed below 13 percent, which means Selectivity 12 1 8 6 4 2 Transmissive ^, p, y Absorptive ^, p, y Transmissive ^Resist Absorptive ^Resist 8 1 12 14 16 18 2 Pressure (Pa) Figure 5. Etching selectivity among films of ZrSiO-based att-psm in dry etching. the ZrSiO-based att-psm makes it possible to conduct inspection without employing any special algorithms. Detection sensitivity is currently being investigated by using test masks with programmed multiphase defects. The ZrSiO-based att-psm, which has been tested with a position-accuracy measurement tool and the CD SEM, has proved to be the preferred measurement technique. Conditions for the mask-making process, such as those for dry etching, have been established. Figure 5 shows etching selectivity as a function of working pressure in dry etching using BCl 3 gas. Selectivity between the anti-transmission film (AF) and the underlying quartz substrate can be increased to more than ten by increasing the reaction pressure. It is possible, therefore, to improve the uniformity of phase shift and of transmissivity within the six-inch mask to the level of the mask blank. 5 4 3 2 ZrSiO 1 2 3 4 5 6 Wavelength (nm) Figure 4. Spectral characteristics of ZrSiO-based att-psm blank featuring ArF transmissivity of six percent. Quartz Figure 6. Pattern profile of ZrSiO-based att-psm..5 µm 44 Autumn 2 Yield Management Solutions

Category Item Target for 21 Spec. of Blank Transmittance @ 193nm 2 2% Reflectance @ 193nm < 25% Phase shift accuracy 18 +/- 2 deg. Phase shift within a mask 2 degrees Transmittance accuracy Target +/-.3% Transmittance within a mask.3 Spec. of Process Durability for chemicals [phase shift change] +/- 1 deg. [transmittance change] +/-.1 deg. Dry etching selectivity to resist > 1 to substrate > 1 Pattern profile 8 degrees Spec. of Mask Minimum feature size 4nm CD uniformity +/- 12nm CD mean to target +/- 12nm Image placement error 3nm Phase shift accuracy 18 +/- 3 deg. Phase shift within a mask 3 deg. Transmittance accuracy Target +/-.3% Transmittance within a mask.3 Spec. of Litho Irradiation durability > 3 years Figure 7. ArF lithography photomask targets in 21. As shown in the SEM image(s) (Figure 6), the pattern profile is extremely good; it does not have any boundary layers formed due to gap of oxygen concentration in half-tone film. This leads to considerable improvement in lithography performance. Future work Figure 7 shows ArF lithography mask targets in 21 by category. Other targets are also being developed relating to technologies required for volume production such as film defect, inspection and repair. Figure 8 shows spectral characteristics of ZrSiO-based att-psm blank whose transmissivity of KrF (248 nm) is as high as 3 percent. Based on the spectral characteristics, we speculate that ZrSiO-based att-psm featuring transmissivity of about 2 percent can be used for commercial applications. For ZrSiO-based att-psm for ArF lithography, the authors have succeeded in achieving transmissivity of 15 percent by carefully selecting conditions for film 1 8 6 4 2 PS (deg.) λ (nm) 248 257 365 248 3.79 27.19 39.15 179.73 2.54 17.69 28.89 179.79 9.6 8.33 18.4 178.46 2 3 4 5 6 7 Wavelength (nm) Figure 8. Spectral characteristics of ZrSiO-based att-psm featuring high transmissivity to be applied to KrF lithography. deposition. One of the advantages of the ZrSiO-based att-psm is that a high degree of freedom is obtained in optical design when film composition and the combination of two films are carefully studied. Current research also indicates the potential of applying ZrSiO technology to masks for F 2 lithography (157 nm). Data is being collected relating to film composition in a bid to develop ZrSiO-based att-psm for F 2 lithography. Summary A new photomask material technology has been established to be applied to three excimer laser sources: KrF, ArF and F 2. For photomask of ArF lithography, sample evaluations of several dozen photomasks have been conducted since 1999. Efforts are currently being made to further improve quality and prepare for the start of volume production in 21. Acknowledgment We would like to extend heartfelt gratitude to Selete (Semiconductor Leading Edge Technologies) for its support of our study. We also thank Mr. Matsuo and his staff in Electronics Research Laboratory, Toppan Printing Co., Ltd., for their help and useful discussion. Autumn 2 Yield Management Solutions 45