Comparative Analysis of Conventional CMOS and Adiabatic Logic Gates

Similar documents
Design and Analysis of Energy Recovery Logic for Low Power Circuit Design

Design and Analysis of Multiplexer in Different Low Power Techniques

Comparative Analysis of Adiabatic Logic Techniques

Adiabatic Logic Circuits: A Retrospect

Adiabatic Logic Circuits for Low Power, High Speed Applications

Performance Analysis of Different Adiabatic Logic Families

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Low Power Adiabatic Logic Design

!"#$%&'()*(+*&,"*")"-./* %()0$12&'()*')*3#'343&'%*.3&"0*4/* (2&'135*&-3)0'0&(-*0'6').!

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

International Journal Of Global Innovations -Vol.5, Issue.I Paper Id: SP-V5-I1-P04 ISSN Online:

Design and Analysis of CMOS Cell Structures using Adiabatic Logic

Design and Analysis of Multiplexer using ADIABATIC Logic

The Circuits Design using Dual-Rail Clocked Energy Efficient Adiabatic Logic

Design and Analysis of f2g Gate using Adiabatic Technique

Design of Energy Efficient Arithmetic Circuits Using Charge Recovery Adiabatic Logic

Comparison of adiabatic and Conventional CMOS

A design of 16-bit adiabatic Microprocessor core

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

Implementation of Low Power Inverter using Adiabatic Logic

DESIGN & ANALYSIS OF A CHARGE RE-CYCLE BASED NOVEL LPHS ADIABATIC LOGIC CIRCUITS FOR LOW POWER APPLICATIONS

POWER EVALUATION OF ADIABATIC LOGIC CIRCUITS IN 45NM TECHNOLOGY

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar**

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

AN EFFICIENT ADIABATIC FULL ADDER DESIGN APPROACH FOR LOW POWER

SEMI ADIABATIC ECRL AND PFAL FULL ADDER

Design and Implementation of Adiabatic based Low Power Logic Circuits

A Comparative Study of Power Dissipation of Sequential Circuits for 2N-2N2P, ECRL and PFAL Adiabatic Logic Families

Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Static Energy Recovery Adiabatic Logic

PERFORMANCE ANALYSIS OF ADIABATIC TECHNIQUES USING FULL ADDER FOR EFFICIENT POWER DISSIPATION

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

Leakage Power Reduction by Using Sleep Methods

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

Design and Analysis of CMOS and Adiabatic logic using 1:16 Multiplexer and 16:1 Demultiplexer

Implementation of dual stack technique for reducing leakage and dynamic power

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

International Journal of Engineering Trends and Technology (IJETT) Volume 45 Number 5 - March 2017

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Energy Efficient Design of Logic Circuits Using Adiabatic Process

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

POWER GATING. Power-gating parameters

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

IJMIE Volume 2, Issue 3 ISSN:

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of combinational circuits in different low power logic styles

Ultra Low Power VLSI Design: A Review

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Cascadable adiabatic logic circuits for low-power applications N.S.S. Reddy 1 M. Satyam 2 K.L. Kishore 3

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

Design Analysis of 1-bit Comparator using 45nm Technology

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Implementation of Carry Select Adder using CMOS Full Adder

Design & Analysis of Low Power Full Adder

True Single-Phase Adiabatic Circuitry

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Adiabatic Technique for Power Efficient Logic Circuit Design

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

A Survey of the Low Power Design Techniques at the Circuit Level

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Analysis and design of a low voltage low power lector inverter based double tail comparator

P high-performance and portable applications. Methods for

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design of Multiplier using Low Power CMOS Technology

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

Towards An Efficient Low Frequency Energy Recovery Dynamic Logic

Design of a Capacitor-less Low Dropout Voltage Regulator

Design of CMOS Based PLC Receiver

Transcription:

MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 1, January 014, pp. 39 43 39 Comparative Analysis of Conventional CMOS and Adiabatic Logic Gates Amit Saxena Department of E&C Engg. Moradabad Institute of Technology Moradabad, U.P., INDIA amitssaksena@gmail.com Deepti Shinghal Department of E&C Engg. Moradabad Institute of Technology Moradabad, U.P., INDIA shinghaldeepti0@gmail.com Arti Noor Department of M. Tech. VLSI Design Group, C-DAC, Noida, U.P., INDIA ABSTRACT This paper deals with comparative study of conventional CMOS circuits with CMOS based reversible logic circuits employing adiabatic switching methods. The power dissipation an important characteristics are tested by means of SPICE circuit simulation techniques for a CMOS AND-OR-INVERTER (AOI) gate. Results from both conventional and adiabatic logic switching simulations are compared. The breakdown of adiabatic operation for these reversible circuits, due to the finite threshold voltages, is tested by checking the logic circuit node current/voltage transient waveform during the logic switching transitions. On the basis of simulation results conclusion has been derived that the performance of reversible logic circuits employing adiabatic switching is better than conventional switching in terms of power dissipation. Keywords: AOI Gate, Adiabatic, Reversible circuits, CMOS, Power dissipation. I. INTRODUCTION Adiabatic is a term of Greek origin that has spent most of its history associated with classical thermodynamics. It refers to a system in which a transition occurs without energy usually in the form of heat being either lost to or gained from the system. In electronic systems electronic charge is preserved. Therefore a true adiabatic circuit would operate without the loss or gain of electronic charge. The first usage of the term Adiabatic in this context appears to be traceable back to a paper presented in 199 at the Second Workshop on Physics and Computation. Although an earlier suggestion of the possibility of energy recovery was made by Bennett where in relation to the energy used to perform computation, he stated This energy could in principle be saved and reused [1]. Adiabatic circuit means that the circuits will be designed as per the Law of Thermodynamics according to which total energy available cannot be completely converted into useful work. The term Adiabatic Logic is used to describe switching circuits that can operate without losses, and the term Semi Adiabatic circuits is used to describe the switching circuits that operates with a power lesser than that of conventional CMOS circuits, such adiabatic switching based circuits still have some non-adiabatic losses [, 3]. In both cases, the terms are used to show that these circuits are capable of operating with substantially lower power dissipation than conventional CMOS switching circuits There are several important principles that are shared by all of these low-power adiabatic systems [4,5]. These include: 1. Turning switches on when there is no potential difference across them,. Turning switches off when no current is flowing through them, 3. Using a power supply that is capable of recovering or recycling energy in the form of electric charge. To achieve this, in general, the power supplies of adiabatic logic circuits have used constant current charging in contrast to more traditional non-adiabatic systems that have generally used constant voltage charging from a fixed-voltage power supply. The power supplies of adiabatic logic circuits have also used circuit elements capable of storing energy. This is often done using inductors, which store the energy by converting it to magnetic flux, or, as in case of Asynchrobatic Logic, by using capacitors, which can directly store electric charge. There are a number of synonyms that have been used by other authors to refer to adiabatic logic type systems, these include: Charge recovery logic, Charge recycling logic, Clock-powered logic, Energy recovery logic and Energy recycling logic. Many adiabatic circuits use a variable, multi-phase, power-supply which controls the operation of the logic by supplying energy to it, and subsequently recovering energy from it [6]. Power gating affects design architecture more than clock gating. It increases time delays, as power gated modes have to be safely entered and exited. Architectural trade-offs exist

MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 1, January 014, pp. 39 43 40 between designing for the amount of leakage power saving in low power modes and the energy dissipation to enter and exit the low power modes. Shutting down the blocks can be accomplished either by software or hardware. Driver software can schedule the power down operations. Hardware timers can be utilized. A dedicated power management controller is another option [7, 8]. An externally switched power supply is a very basic form of power gating to achieve long term leakage power reduction. To shut off the block for small intervals of time, internal power gating is more suitable. CMOS switches that provide power to the circuitry are controlled by power gating controllers. Outputs of the power gated block discharge slowly. Larger short circuit current may result and tend to drive the output voltage levels if the circuit stalls for more time in threshold voltage level. This can lead to [9, 10, 11]. PMOS transistors with smaller leakage current are used for power gating applications where header switches are used to cut off the power supplied to the remaining part of the circuit which is considered to be in standby or sleep mode.using power gating technique essentially the power supply of the part of a whole circuit is gated and turned off and that section of circuit is virtually disconnected from the power supply thereby reducing power consumption and leakage current gating NMOS transistors are used generally in the section of the circuit to which the power is to be gated off. This technique divides the whole circuit in to two parts one section which is permanently connected to power supply and another section which can be disconnected from power supply using gates. Generally transistors with high threshold voltages are used as gating transistors.sizing ratio of gating transistors is also an important design consideration. II. LOGIC CIRCUITS.1. CMOS Logic Circuits Principal Power dissipation in conventional CMOS circuits primarily occurs during device switching. As shown in Fig. 1, both PMOS and NMOS transistors can be modelled by including an ideal switch in series with a resistor in order to represent the effective channel resistance of the switch and the interconnect resistance [1]. The pull-up and pull-down networks are connected to the node capacitance C L, which is referred to as the load capacitance in this paper. When the logic level in the system is 1, there is a sudden flow of current through R. Q = C L is the charge supplied by the positive power supply rail for charging C L to. Hence, the energy drawn from the power supply is Q = C L. If it is assumed that the energy drawn from the power supply is equal to that supplied to C L, the energy stored in C L becomes one-half the supplied energy, i.e. E stored = 0.5 C L (1) The remaining energy is dissipated in R. The same amount of energy is dissipated during discharging in the NMOS pulldown network when the logic level in the system is 0. Therefore, the total amount of energy dissipated as heat during charging and discharging is E total = E charge + E discharge () = 0.5C L + 0.5C L = C L Fig. 1. A Conventional CMOS model along with charging and discharging From the above equation, it is apparent that the energy consumption in a conventional CMOS circuit can be reduced by reducing. By decreasing the switching activity in the circuit, the power consumption (P = de/dt) can also be proportionally suppressed... Adiabatic Logic Circuits Principal Switching circuit design around the adiabatic principle works in such a way that they reduces the overall power consumption by reducing the leakage current and the dissipation of power through parasitic capacitances as in the case of conventional CMOS This is accomplished by using AC power supplies to initially charge the circuit during specific adiabatic phases and then discharge the circuit to recover the supplied charge. The principle of adiabatic switching can be best explained by contrasting it with the conventional dissipative switching technique. Fig. shows the manner in which energy is dissipated during a switching transition in adiabatic logic circuits. Fig.. An Adiabatic logic model along with charging and discharging In contrast to conventional charging, the rate of switching transition in adiabatic circuits is decreased because of the use of a time-varying voltage source instead of a fixed voltage supply. The peak current in adiabatic circuits can be significantly reduced by ensuring uniform charge transfers over the entire available time. Hence, if Iˆ is considered as the average of the current flowing to CL, the overall energy dissipation during the transition phase can be reduced in proportion as follows [13, 14]: In adiabatic switching circuits the parasitic capacitor charging, is done when the time for the driving voltage ö to change from zero to maximum voltage, charging time period is

MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 1, January 014, pp. 39 43 41 long, power dissipation is nearly 0. When ö changes from 1 to 0 in the pulldown network, discharging via the nmos transistor occurs. From Eq. (), it is apparent that when power dissipation is minimized by decreasing the rate of switching transition, the system draws some of the energy that is stored in the capacitors during a given computation step and uses it in subsequent computations. The signal energy may be recycled instead of dissipated as heat [14]. It must be noted that systems based on the abovementioned theory of charge recovery are not necessarily reversible. III. CMOS INVERTER The power dissipation in a CMOS inverter is mainly due to switching of nmos & pmos. At an instant when pull up device and pull down device both are in on state, thus providing a path from Vdd to ground. Conventional CMOS inverter is shown in figure 3 and a PFAL based CMOS adiabatic switching principle based inverter is shown in Figure 4. Fig. 5. Logical diagram of And Or Invert gate The PFAL implementation of AOI gate is shown in figure. Fig. 6. CMOS implementation of AOI Gate Fig. 3. Conentional Cmos Inverter Fig. 4. PFAL based CMOS Inverter PFAL is Positive Feedback Adiabatic Logic In a typical adiabatic logic inverter/ buffer [15], the output capacitance is alternately charged (evaluate phase) and discharged (recovery phase) by a power/clock line through a C-MOS transmission gate, which is controlled by the inputs. In this approach a second enabling gate is needed in series to the calculating one to keep the outputs stable when the previous stage goes to idle state and the calculating transmission gate is no longer correctly driven [16]. This enabling gate, unfortunately, leads to the introduction of two additional transistors, the use of an additional couple of control signals, and doubles the resistance of the charging path [17]. IV. REALIZATION OF AOI GATE A logical diagram of And Or Inverter (AOI) gate is shown in Fig.1. Fig. 7. CMOS Implementation of Adiabatic AOI Gate V. SIMULATION SETUP For analyzing a proposed design circuit, an important stage is the simulation of its behavior in order to check its optimal functioning. Simulation processes require complex models for its components, models that can be achieved on basis of their behavior or on basis of state equations. SPICE is one of the most used simulators for analog circuits. The conventional design block used here is CMOS transistor. Earlier, the power consumption of CMOS devices was not the major concern while designing chips. Factors like speed and area dominated the design parameters. As the CMOS technology moved below sub-micron levels the power consumption per unit area of the chip has risen tremendously. Broadly classifying, power dissipation in CMOS

MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 1, January 014, pp. 39 43 4 circuits occurs because of two components: 1. Static dissipation.. Sub threshold condition when the transistors are off. CMOS circuits dissipate power by charging the various load capacitances (mostly gate and wire capacitance, but also drain and some source capacitances) whenever they are switched. In one complete cycle of CMOS logic, current flows from Vdd to the load capacitance to charge it and then flows from the charged load capacitance to ground during discharge. Therefore in one complete charge/discharge cycle, a total of Q=CLVdd is thus transferred from Vdd to ground. Multiply by the switching frequency on the load capacitances to get the current used, and multiply by voltage again to get the characteristic switching power dissipated by a CMOS device. Since most gates do not operate/switch at every clock cycle, they are often accompanied by a factor, called the activity factor. A clock in a system has an activity factor á=1, since it rises and falls every cycle. Most data has an activity factor of 0.1. If correct load capacitance is estimated on a node together with its activity factor, the dynamic power dissipation at that node can be calculated effectively. Adiabatic circuit can be used for reducing the power consumption significantly during state changes. Adiabatic circuits are low power circuits which use reversible logic to conserve energy. Unlike traditional CMOS circuits, which dissipate energy during switching, adiabatic circuits attempt to conserve charge by following two key rules: 1. A transistor is never turned on when there is a voltage between the source and drain.. A transistor is never turned off when current is flowing through it. There are some classical approaches to reduce the dynamic power such as reducing supply voltage, decreasing physical capacitance and reducing switching activity. These techniques are not fit enough to meet today s power requirement. Adiabatic logic works with the concept of switching activities which reduces the power by giving stored energy back to the supply. Thus, the term adiabatic logic is used in low-power VLSI circuits which implements reversible logic. In this, the main design changes are focused in power clock which plays the vital role in the principle of operation. Each phase of the power clock gives user to achieve the two major design rules for the adiabatic circuit design. 1. Never turn on a transistor if there is a voltage across it (VDS>0). Never turn off a transistor if there is a current through it (IDS>0) 3. Never pass current through a diode. The inverter and AOI gate circuits were implemented and simulated using tanner tool. Tanner Tool, Low total cost of ownership. Tanner has created a software platform that is cost-effective and easy to use, while still being powerful enough to handle complex designs. Tanner EDA tools are suitable for start-ups, mid-size, and million-dollar top-tier players working on a range of innovative and cutting-edge designs. This program conceived for the simulation of circuits that allow users to simulate circuit components, simultaneously with SPICE models and power dissipation estimation. VI. RESULTS The simulation results thus obtained by the above mentioned circuits are graphically shown for energy dissipation against switching time over the range 1ps to 4ìs. The energy dissipation vs rise/fall time response for the conventional logic switching and for the adiabatic logic switching were obtained and shown in Figure 8 whereas the energy dissipation vs rise/fall time response and transient response for AOI gate based on adiabatic switching is shown in Figure 9 and 10 respectively. Fig. 8. Energy dissipation versus switching time for conventional and Adiabatic logic switching of CMOS Not gate for case 1 Fig. 9. Energy Dissipation of AOI gate for combination for A (0->1->0), B(1) & C(0->1->0) VII. CONCLUSION By the use of circuit simulation techniques the performance of a range of reversible circuit elements operated in conventional and adiabatic logic switching has been evaluated. The Inverter and AOI gates were investigated and comparisons of energy dissipation for conventional and reversible adiabatic logic were done for different values of switching time. It was found that the reversible adiabatic logic circuits show significant reduction of energy dissipation.

MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 1, January 014, pp. 39 43 43 Fig. 10. Transient analysis of AOI gate. In order to reduce energy dissipation the logic switching must be gradual rather than instantaneous. With the circuits examined in this paper, there is a lower limit to the energy dissipation beyond which no significant improvements can be achieved for increasing rise/fall times. This limitation is mainly due to the finite threshold voltage of the MOS transistors and possibly to a lesser extent, the non linear characteristics of the MOS channel resistance. Our simulations demonstrate that adiabatic addressing when applied to transmission gate logic provides a method of decreasing the energy dissipation when compared with conventional logic switching under certain circumstances. REFERENCES [1] Bennett, C.H., Logical Reversibility of Computation, IBM Journal of Research and Development, Volume 17, Issue 6, pp. 55-53, Nov. 1973, 10.1147/rd.176.055 [] Michael P. Frank and Marco Ottavi, Energy Transfer and Recovery Efficiencies for Adiabatic Charging with various driving waveforms, Research Memo, 006. [3] N. Weste and K. Eshraghian, Principles of CMOS VLSI Design, 1993 :Addison-Wesley. [4] W.C. Athas, L.J. Svensson, J.G. Koller and E. Chou, Low Power Digital Systems based on Adiabatic-Switching Principles, IEEE Trans. on VLSI Systems, Vol., No. 4, pp. 398-407, April 1994. [5] A. Blotti, S. Borghese, and R. Saletti, Single-Inductor four-phase power-clock generator for positive feedback adiabatic logic gates, Proc. Int. Conf. Electronics, Circuits Systems, pp. 533-536 00. [6] E. Amirante, A. Bargagli-Stoffi, J. Fischer, G. Iannaccone and D. Schmitt-Landsiedel, Variations of the Power Dissipation in Adiabatic Logic Gates, Proc. 11th Int. Workshop PATMOS, pp. 9.1.1-10 001. [7] Blotti, A.; Di Pascoli, S.; Saletti, R., Simple model for positivefeedback adiabatic logic power consumption estimation, Electronics Letters, Vol. 36, No., pp.116-118, 0 Jan. 000. doi: 10.1049/el:0000183. [8] D. Maksimovic, V.G. Oklobdzija, B. Nikolic and K. Current, Clocked CMOS adiabatic logic with integrated single-phase power-clock supply, IEEE Trans. VLSI Systems, Vol. 8, No. 4, pp. 460-463, Aug. 000. [9] W.C. Athas, J.G. Koller, and L.J. Svensson, An energy efficient CMOS line driver using adiabatic switching, in Proc. IEEE Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, pp. 196-199, Mar. 1994. [10] Yong Moon, Deog-Kyoon Jeong, An efficient charge recovery logic circuit, Solid-State Circuits, IEEE Journal of, Vol. 31, No. 4, pp. 514,5, Apr. 1996 doi: 10.1109/4.49977. [11] C.W. Kim, S.M. Yoo and M.S. Kang, Low power adiabatic computing with NMOS energy recovery logic, Electric letters, Vol. 36, No. 13, pp. 1349-1350, Aug. 000. [1] Joonho Lim, Dong-Gyu Kim and Soo-Ik Chae, nmos Reversible Energy Recovery Logic for Ultra-Low-Energy Applications, IEEE Journal of Solid-State Circuits, Vol. 35, No. 6, pp.865-875, June, 000. [13] Willingham, D.J.; Kale, I., Using Positive Feedback Adiabatic Logic to implement Reversible Toffoli Gates, NORCHIP, 008, pp. 5-8, 16-17 Nov. 008. [14] J. Lim, D.G. Kim and S.I. Chae, Reduction in energy consumption by boots trapped nmos switches in reversible adiabatic CMOS circuits, Proc. of IEE Circuits Devices Syst., Vol. 146. No. 6, pp. 37-333 December 1999. [15] A. Ventuli, S.D. Pascoli, L.M. Reyneri, Positive feedback in adiabatic logic, IEEE Electronics Letters, Vol. 3, No. 0, pp. 1867-1869, Sept. 1996. [16] W.C. Athas, L.J. Svensson, J.G. Koller, N. Tzartanis and E. Chou, A framework for practical low-power digital CMOS system using adiabatic switching principles, Proc. of Int l Workshop on Low- Power Design, pp. 189-194, Apr. 1994. [17] Karoubalis T., Alexiou G. Ph. and Kanopoulos N., Optimal Synthesis of differential cascode voltage switch (DCVS) logic circuits using ordered binary decision diagrams (OBDDs), Proc Euro-DAC 1995, pp. 8-87. [18] http://en.wikipedia.org/wiki/adiabatic_circuit