A High-speed SerDes Transceiver for Wireless Proximity Communication

Similar documents
An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A High-Resolution Dual-Loop Digital DLL

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ECEN 720 High-Speed Links Circuits and Systems

A design of 16-bit adiabatic Microprocessor core

ECEN 720 High-Speed Links: Circuits and Systems

5Gbps Serial Link Transmitter with Pre-emphasis

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

Dedication. To Mum and Dad

THE serial advanced technology attachment (SATA) is becoming

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

Fully integrated serial-link receiver with optical interface for long-haul display interconnects

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2012

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

REDUCING power consumption and enhancing energy

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

/$ IEEE

MULTIPHASE clocks are useful in many applications.

ECEN720: High-Speed Links Circuits and Systems Spring 2017

3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links

Ultra-high-speed Interconnect Technology for Processor Communication

THE DEMANDS of a high-bandwidth dynamic random access

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

Use of Phase Delay Analysis for Evaluating Wideband Circuits: An Alternative to Group Delay Analysis

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

20Gb/s 0.13um CMOS Serial Link

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

PHASE-LOCKED loops (PLLs) are widely used in many

WITH the aid of wave-length division multiplexing technique,

SERIALIZED data transmission systems are usually

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A Low Power Single Phase Clock Distribution Multiband Network

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

Wide frequency range duty cycle correction circuit for DDR interface

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology

on-chip Design for LAr Front-end Readout

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation

High-Speed Interconnect Technology for Servers

To learn fundamentals of high speed I/O link equalization techniques.

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

WITH the growth of data communication in internet, high

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

THE continuous growth of broadband data communications

NEW WIRELESS applications are emerging where

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

Another way to implement a folding ADC

Design of CMOS Based PLC Receiver

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

Low Phase Noise Series-coupled VCO using Current-reuse and Armstrong Topologies

LSI and Circuit Technologies for the SX-8 Supercomputer

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

BER-optimal ADC for Serial Links

ASNT2123-KMM DC-64Gbps Broadband Digital DDR 1:4 Demultiplexer

ALTHOUGH zero-if and low-if architectures have been

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications

IN RECENT years, the phase-locked loop (PLL) has been a

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

Multi-gigabit signaling with CMOS

THE UWB system utilizes the unlicensed GHz

DOUBLE DATA RATE (DDR) technology is one solution

Adiabatic Logic Circuits for Low Power, High Speed Applications

A 5Gbit/s CMOS Clock and Data Recovery Circuit

IN HIGH-SPEED wireline transceivers, a (DFE) is often

Circuit Design for a 2.2 GByte/s Memory Interface

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A 4-channel Time Interleaved Sampler based 3-5 GHz band CMOS Radar IC in 0.13 mm for Surveillance

Transcription:

JOUNAL OF SEMICONDUCTO TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBUAY, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.1.042 ISSN(Online) 2233-4866 A High-speed SerDes Transceiver for Wireless Proximity Communication Jongsun Kim 1 and Jintae Kim 2 Abstract This paper presents a serializer and deserializer (SerDes) with a phase interpolator (PI) based digital clock and data recovery (CD) circuit for high-speed and short-range wireless chip-to-chip communication. The SerDes performs 4:1 muxing and 1:4 demuxing functions. The PI-based digital CD uses an 8-phase delay-locked loop (DLL) to produce a set of evenly spaced reference clock phases. The phase selector performs 2 oversampling to recover the data from the input data signal. Implemented in a 65 nm CMOS process, the proposed SerDes achieves a measured data rate of 10 Gbps and a recovered peak-to-peak clock jitter of 36.25 ps. The SerDes occupies an active area of 0.095 mm 2 and dissipates 88 mw at 10 Gbps. Index Terms SerDes, CD, clock and data recovery, serializer, deserializer Manuscript received Apr. 7, 2017; accepted Oct. 26, 2017 1 School of Electronic and Electrical Eng., Hongik University 2 Dept of Electronics Eng., Konkuk University E-mail : js.kim@hongik.ac.kr I. INTODUCTION ecently, the Wireless Gigabit Alliance (WiGig) adopted the unlicensed 60 GHz wireless communication as the short distance, high speed wireless communication standard and IEEE announced the IEEE 802.11ad specification for 60 GHz [1, 2]. The 60 GHz wireless communication system is capable of data rates of up to 6 10 Gbps and can satisfy bandwidth demands in portable and consumer applications. The unprecedented access to the unlicensed spectrum and the small size of Fig. 1. A block diagram of a simplified 60 GHz Wireless chipto-chip communication chipset with a SerDes. the transceiver chipset make 60 GHz a very attractive spectrum for many potential applications that require low energy consumption and low latency. Fig. 1 shows the block diagram of a simplified 60 GHz transceiver chipset. The transceiver chipset includes a media access control (MAC) layer, a physical (PHY) layer, a serializer and deserializer (SerDes), and a F module. When transmitting and receiving high-speed wireless data over 10 Gb/s between the host and guest F transceiver, a SerDes converts the slow parallel data to a high-speed serial data stream on the F transmitter side and converts back the serial data to parallel data on the F receiver side. One of the challenges in the design of energy-efficient 60 GHz chipset is the implementation of a SerDes that can provide robust performance with low power dissipation, while maintaining a small area, low complexity, and low bit-error-rates. The power and performance of the SerDes are primarily determined by the clock and data recovery (CD) circuit [3-9]. CDs have been widely used in

JOUNAL OF SEMICONDUCTO TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBUAY, 2018 43 wireline transceivers for backplane and optical applications. Today CDs are important key building blocks in 60 GHz wireless communication systems. CDs can be divided into two categories depending on how much digital circuits are contained in a CD: analog CDs and digital CDs. A prime example of an analog CD is the phase-locked loop (PLL)-based CD. A widely used digital CD is the over-sampling CD [10-12]. In this paper, we introduce a low-power, low-jitter, high-speed SerDes that employs a phase interpolator (PI) based digital CD for wireless proximity communication [8]. The proposed PI-based digital CD offers many advantages over PLL-based CDs, such as faster acquisition time and process variation immunity. The SerDes chip is fabricated in a 65-nm CMOS process and achieves more than 10-Gb/s throughput. The remainder of this paper is organized as follows: Section II describes the proposed SerDes architecture. Section III describes the circuit design in detail. Section IV shows the implementation results of the fabricated SerDes chip. Finally, the conclusions are given in Section V. D1 2.5 Gbps D2 D3 D4 D13 ( 5 Gbps) D24 ( 5 Gbps) D1234 (10Gbps) (a) (b) II. POPOSED SEDES ACHITECTUE Fig. 2 shows the block diagram of the proposed serializer. Fig. 2(a) shows the serializer architecture which consists of a 4-to-1 serializer, a divide by 2 divider, a pseudo random binary sequence (PBS) generator, and a differential current mode logic (CML) buffer. As shown in Fig. 2(b), the serializer uses two stages of multiplexing to convert the four 2.5 Gbps parallel data (D1 ~ D4) into a differential 10 Gbps/pin serial data stream (Data1234). The serial data is then transmitted to the F transmitter. Since the on-chip F transmitter is closely located, a power hungry equalization technique is not required. Instead a simple differential CML buffer can be used to reduce power consumption. The SerDes transmitter and receiver share a high-speed 5-GHz reference clock (). Fig. 3 shows a block diagram of the proposed deserializer implemented as a digital CD with 4-bit demultiplexed parallel output data. The proposed PIbased digital CD consists of eight data receiving samplers, an Early-Late (EL) detector, a phase controller, Fig. 2. Block diagram of the proposed Serializer (a) Architecture, (b) 10 Gbps Serializer operation. 10Gbps D1 D2 D3 D4 Serial Input Data S0 ~ S7 Φ0 ~ Φ7 #1 S Q S Q 8-Phase DLL S Q S0 S4 #1 Phase Selector ( 4 ea) PI[8:0] MA[1:0] MB[1:0] _2 (5 GHz) Phase Controller _4 Frequency Divider ecovered data a frequency divider, four phase selectors, and an 8-phase delay-locked loop (DLL). In an ideal 60 GHz wireless proximity communication system, the sampler receives a small-swing high-speed serial input data from an F receiver. In this paper, we verify the operation by using the small-swing differential #4 #8 S0 ~ S7 DOUT<2> DOUT<1> DOUT<4> DOUT<3> EL Detector Early<8:1> Late<8:1> D4 D3 D2 D1 2.5 Gbps x 4ea Fig. 3. Block diagram of the proposed deserializer (= digital CD with 4-bit demultiplexed parallel output data).

44 JONGSUN KIM et al : A HIGH-SPEED SerDes TANSCEIVE FO WIELESS POXIMITY COMMUNICATION signal from the CML buffer of the serializer as the input to the deserializer. The minimum input swing level of the sampler for 20 Gbps operation is approximately 7 mv. Because the F receiver provides an open eye and the CD is closely located, a complex equalizer is not required at the input of the CD. The details of the CD circuit design is discussed more in Section III. In Fig. 3, the 8-phase DLL [13, 14] is used as a reference clock generator for the phase selectors. It generates eight phase reference clock signals, Φ0 ~ Φ7, with a uniform distribution of 45 degrees. Then the four phase selectors generate the eight sampling clocks (S0 ~ S7) that are used to recover the data from the high-speed input data signal. The phase selector consists of two multiplexers and a phase interpolator for providing infinite phase rotation. Each phase selector first selects two adjacent clock signals from the eight reference clocks, Φ0 ~ Φ7, and then interpolates them to generate a differential sampling clock from input control codes (MA[1:0], MB[1:0], and PI[8:0]) of the phase controller. Consequentially, the four phase selectors provide the 8-phase sampling clocks (S0 ~ S7) required by the eight samplers for recovering the data using the oversampling technique [3]. Each phase of the sampling clocks is aligned to the input data centers for correct data recovery. The frequency divider receives as input and generates _2, which is 1/2 frequency of, and _4 clock signal, which is 1/4 frequency. Fig. 4. 2-to-1 CML based Mux. O Din Dinb Din Latch Fig. 5. Schematic of the SA-based differential sampler. #1 #2 #3 BBPD #1 BBPD #2 O Ob PD<1> PD<2> S Dinb EL Detector #1 #2 Out Ob III. CICUIT DESCIPTION As shown in Fig. 2(a), a 4-to-1 serializer consisting of two 2-to-1 Mux is used to convert the four 2.5 Gbps parallel data streams into a 10 Gbps serial data stream. Fig. 4 shows a schematic of the 2-to-1 current mode logic (CML) based Mux, which comprises two CML D flip-flops (D-FF), a CML latch, and a CML Mux. All the unit circuits are based on differential CML circuits. Fig. 5 shows a schematic of the sense amplifier (SA) based differential sampler [15], which is used as an input receiver of the deserializer. The output from each sampler is used as an input to the EL detector. Eight clock phases are used for sampling the incoming data bits. A total of eight samplers are employed simultaneously reconstructing 4-bit parallel data. #8 BBPD #8 PD<8> Fig. 6. Early-Late (EL) Detector. #8 Fig. 6 shows the proposed Early-Late (EL) detector. the EL Detector is an 8-bit parallel bang-bang phase detector (BBPD) followed by an 8-bit 1-2 de-multiplexer (). The EL detector compares the output values of adjacent samplers and generates 8-bit Early<8:1> and Late<8:1> data stream for determining whether the phases of the sampling clocks are fast or slow. The frontend BBPDs generate an 8-bit early/late output (PD<8:1>)

JOUNAL OF SEMICONDUCTO TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBUAY, 2018 45 _4 Late<8:1> late<8:1> late_out late early<8:1> UP UP ing Counter early_out early FSM DN DN MA<1:0> MA<1:0> MB<1:0> MB<1:0> PI<8:0> PI<8:0> Fig. 7. Phase Controller. Deserializer Serializer DLL 180um Phase Vernier 120um Majority Vote Logic 230um Early<8:1> 410um S3 / 7 S2 / 6 S1 / 5 S0 / 4 S0 Fig. 9. Layout and chip microphotograph of the proposed SerDes. S4 PI[8:0] Phase Interpolator MB[1:0] MA[1:0] Φ0 Φ2 Φ4 Φ6 Φ1 Φ3 Φ5 Φ7 (a) Fig. 8. Phase Selector. CoB Die Chip that is demultiplexed with a factor of 2 to produce 8-bit Early<8:1> and Late<8:1> data streams. The purpose of the is to halve the Early/Late update frequency so that the phase controller of Fig. 7 can be run with a lower operating frequency of _4 (= 1.25 GHz). By using this, the phase controller logic synthesized with the 65n CMOS process can easily operate at 2.5 GHz or more. Fig. 7 shows the proposed phase controller. The phase controller consists of a majority vote logic, a ring counter, and a finite-state machine (FSM). The majority vote logic determines whether the sampling clocks are early or late relative to the incoming data stream by majority voting [3]. The ring counter counts the early/late signal from the majority vote logic and then generates Up/Down signals. The FSM generates the control codes (MA[1:0], MB[1:0], and PI[8:0]) of the phase selector. Fig. 8 shows the proposed phase selector. The deserializer contains four phase selectors that provide 8phase sampling clocks (S0 ~ S7) for the eight samplers. The phase selector consists of two differential 4-to-1 multiplexers () and a phase interpolator (PI). Two adjacent clock phases are selected among the eight phase reference clock signals, Φ0 ~ Φ7, according to the code values of MA[1:0] and MB[1:0]. Depending on the control code PI[8:0], the PI interpolates the two input clock phases to generate a differential output clock with an improved resolution of 1/8 phase step. PBS 30Cm SMA Cable Bonding wire x4 Parallel Data 30Cm SMA Cable Ser Clock Generator SMA Connetor Serial Data x4 Des Bonding wire Osciloscope (b) Fig. 10. (a) Test chip-on-board (CoB), (b) measurement setup. IV. MEASUEMENT ESULTS The proposed SerDes was implemented in a 65 nm CMOS process and tested in a chip-on-board assembly. Fig. 9 shows the chip layout and the microphotograph of the proposed SerDes which occupies an active area of 0.095 mm2. Fig. 10(a) shows the test chip-on-board (CoB) and Fig. 10(b) shows the setup used for the measurement. Since we want to verify the function of the SEDES itself without the F transceivers, we simply connected the serializer and the deserializer via an onchip differential wire interconnect. The CD and SerDes architectures proposed in this paper were originally designed for ultra-high speed

46 JONGSUN KIM et al : A HIGH-SPEED SerDes TANSCEIVE FO WIELESS POXIMITY COMMUNICATION Pattern Generator SMA Cable (30Cm) OSC [Pattern Generator] ecovered Clock Jitter Pk-pk 21.88pS (Anritsu MP1763C) (a) Fig. 11. Measured waveform through a 30-cm SMA cable. ecovered Data Jitter Pk-pk 30pS (b) Fig. 13. Measured peak-to-peak jitter (a) ecovered clock, (b) ecovered data. Fig. 12. Measured recovered data (2.5 Gbps 4 = 10 Gbps). operation of 20 Gbps/pin. Simulation works well at a data rate of 20 Gbps/pin, but in actual measurement only 10 Gbps/pin operation has been confirmed due to limitations of measurement equipment for generation. We used a pattern generator (Anritsu MP1763C) to generate a differential. As shown in Fig. 11, the output of the differential phase is clearly visible at 1 GHz, but the phase starts to change at 5 GHz. Fig. 12 shows the measured 4-bit parallel data recovered with a PBS-7 pattern. The output of the Deserializer is through 4 output pins with 4-bit parallel data (DOUT <4:1>). Thus, for aggregate data rates of 10 Gbps, each DOUT pin should operate at 2.5 Gbps. Due to the limitations of the measurement equipment for generation, the maximum aggregate date rate measured is 10 Gbps (= 2.5 Gbps 4). Fig. 13 displays the measured jitter of the recovered clock and the eye diagram of the recovered data, Table 1. Performance summary and comparison TCASII VLSI JSSC JSSC This work 2013 [4] 2013 [5] 2007 [6] 2011 [7] Process 90 nm 90 nm 0.11 μm 0.13 μm 65 nm Supply 1v CD Architecture PLLbased PLLbased CD DE 1:1 1:1 1:1 1:4 Data ate (Gbps) 12.5 5 3.2 5 10 Oversamp PI-based PI-based ling 1:4 Power (mw) 84 13.1 115 18.2 Ser : 20 Des : 68 CD Bit energy (mw/gbps) 6.72 2.62 35.9 3.64 6.8 ecovered Clock Jitter (Pk-pk) - 44 ps - Chip Area (mm2) 0.823 0.62 0.15 0.4 0.095 FOM 5.531 1.625 5.385 1.456 0.646 52.22 ps 21.88 ps FOM=power dissipation (mw) area(mm2) / data rate (Gbps) respectively. The peak-to-peak jitter of the recovered clock is 21.88 ps and the peak-to-peak jitter of the recovered data signal is 30 ps. The estimated BE is 1e28 at 10 Gbps. As shown in Table 1, when compared with existing CDs, the proposed PI-based digital CD

JOUNAL OF SEMICONDUCTO TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBUAY, 2018 47 achieves highest figure-of-merit (FOM) in terms of power dissipation, die area, and data rate. V. CONCLUSIONS A low-power 10 Gbps SerDes is presented that uses a PI-based digital CD for energy-efficient short-range wireless chip-to-chip communication. The DLL-based phase-interpolating CD performs 2 oversampling to recover the data from the input signal. Implemented in a 65 nm CMOS process, the proposed SerDes achieves a measured data rate of 10 Gbps and a recovered peak-topeak clock jitter of 21.88 ps. The SerDes occupies an active area of only 0.095 mm 2 and the CD dissipates 6.8 mw/gbps. ACKNOWLEDGMENTS This work was supported by the KIAT grant funded by the Korean government (MOTIE No. N0001883). The EDA tools were supported by IDEC. EFEENCES [1] A. Tomkins, et al., A 60 GHz, 802.11ad/WiGig- Compliant Transceiver for Infrastructure and Mobile Applications in 130 nm SiGe BiCMOS, IEEE J. Solid-State Cicuits, vol. 50, pp. 1-17, Oct. 2015. [2] Toshiya Mitomo, et al., A2-Gb/s throughput CMOS transceiver chipset with in-package antenna for 60-GHz short-range wireless communication, IEEE J. Solid-State Cicuits, vol. 47, pp. 3160-3171, Dec. 2012. [3] M.-J. E. Lee, et al., An 84-mW 4-Gb/s Clock and Data ecovery Circuit for Serial Link Applications, Symp. VLSI Cicuits Dig. Tech. Papers, 2001, pp. 149-152. [4] A. Zargaran-Yazd and S. Mirabbasi, 12.5-Gb/s Full-ate CD With Wideband Quadrature Phase Shifting in Data Path, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 60, no. 6, pp. 297-301, Jun. 2013. [5] G. Shu, et al., A 5Gb/s 2.6mW/Gb/s eferenceless Half-ate PPLL-based Digital CD, Symp. VLSI Cicuits Dig. Tech. Papers, 2013, pp. C278- C279. [6] M. van Ierssel, et al., A 3.2 Gb/s CD Using Semi-Blind Oversampling to Achieve High Jitter Tolerance, IEEE J. Solid-State Cicuits, vol. 40, no. 10, pp. 2224-2234, Oct. 2007. [7] S.-Y. Lee, et al., 250 Mbps 5 Gbps Wide-ange CD With Digital Vernier Phase Shifting and Dual-Mode Control in 0.13 μm CMOS, IEEE J. Solid-State Cicuits, vol. 46, no. 11, pp. 2560-2570, Nov. 2011. [8] S. Han, T. Kim, J. Kim, and Jongsun Kim, A 10 Gbps SerDes for wireless chip-to-chip communication, 2015 International SoC Design Confernece, pp. 17-18, 2015. [9] S. Butala, and Behzad azavi, A CMOS Clock ecovery Circuit fr 2.5-Gb/s NZ Data, IEEE Journal Solid-State Circuits, vol. 36, no. 3, pp. 432-38, March 2001. [10] M.-J. Edward Lee, W.-J. Dally, John W. Poulton, P. Chiang, and S. Greenwood, An 84-mW 4-Gb/s Clock and Data ecovery Circuit for Serial Link Applications, Symp. on VLSI Circuits Digest of Technical Papers, pp. 149-52, 2001. [11] K. Lee, S. Kim, Gijung Ahn, and Deog-Kyoon Jeong, A CMOS Serial Link for Fully Duplexed Data Communication, IEEE Journal Solid-State Circuits, Vol. 30, No. 4, pp. 353-64, April, 1995. [12] Sungjoon Kim, Kyeonghoee, Deog-Kyoon, David D. Lee, and Andreas G. Nowatzyk, An 800Mbps Multi-Channel serial Link with 3X Oversampling, IEEE Custom Integrated Circuits Conference, pp. 451-54, 1995. [13] Jongsun Kim, et al., A high-resolution dual-loop digital DLL, Journal of Semiconductor Technology and Science, vol. 16, no. 4, pp. 520-527, Aug. 2016. [14] D. Lee and Jongsun Kim, 5 GHz all-digital delaylocked loop for future memory systems beyond double data rate 4 synchronous dynamic random access memory, IET Electronics Letters, vol. 51, no. 24, pp. 1973-1975, Nov. 2015.. [15] M.-J. E. Lee, W. J. Dally, and P. Chiang, Lowpower area efficient high speed I/O circuit techniques, IEEE J. Solid-State Circuits, vol. 35, pp. 1591-1599, Nov. 2000

48 JONGSUN KIM et al : A HIGH-SPEED SerDes TANSCEIVE FO WIELESS POXIMITY COMMUNICATION Jongsun Kim received his Ph.D. degree in electrical engineering from the University of California, Los Angeles (UCLA) in 2006 in the field of Integrated Circuits and Systems. He was a postdoctoral fellow at UCLA from 2006 to 2007. From 1994 to 2008, he was with Samsung Electronics as a senior research engineer in the DAM Design Team, where he worked on the design and development of SDAMs, SGDAMs, ambus DAMs, DD3 and DD4 DAMs. Dr. Kim joined the School of Electronic & Electrical Engineering, Hongik University in March 2008. Professor Kim s research interests are in the areas of high-performance mixed-signal circuits and systems design. His research areas include high-speed and lowpower I/O interface circuits, clock recovery circuits (PLLs/DLLs/CDs), signal integrity and power integrity, low-power memories, and power-management ICs (PMICs). Prof. Kim is a member of IEEE, IEIE, and IEICE. Jintae Kim received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 1997, and the M.S. and Ph.D. degrees in electrical engineering from University of California, Los Angeles, CA, in 2004 and 2008, respectively. He held various industry positions at Barcelona Design, CA, SiTime Corporation, CA, and Agilent Technologies, CA, as a key technical contributor for their high-speed A/D converters and timing IC products. Since 2012, he has been an assistant and associate professor at Konkuk University, Seoul, Korea, where he is focusing on low power mixed-signal IC designs for communication and sensor applications. Dr. Kim is a recipient of the IEEE Solid-State Circuits Predoctoral Fellowship in 2007.