Nanoelectronic Circuit Design

Similar documents
Integrated Circuits and Systems

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Mechanics Over Micro and Nano Scales

Variation Tolerant On-Chip Interconnects

ADVANCED POWER RECTIFIER CONCEPTS

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online):

Integrated Circuits and Systems

Automated Multi-Camera Surveillance Algorithms and Practice

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

Multiprocessor System-on-Chip

A Practical Guide to Frozen Section Technique

Minimizing Spurious Tones in Digital Delta-Sigma Modulators

The Economics of Information, Communication, and Entertainment

Ambipolar electronics

E E Verification and Control of Hybrid Systems

Lateral Flow Immunoassay

The Astronaut s Cookbook

SpringerBriefs in Space Development

Towards a Reconfigurable Nanocomputer Platform

CMOS Test and Evaluation

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems

Distributed Detection and Data Fusion

Synthetic Aperture Radar

Design for Innovative Value Towards a Sustainable Society

SpringerBriefs in Electrical and Computer Engineering

Broadband Networks, Smart Grids and Climate Change

Springer Series in Advanced Microelectronics 33

FIELD-PROGRAMMABLE gate array (FPGA) chips

INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE

Offshore Energy Structures

ANALOG CIRCUITS AND SIGNAL PROCESSING

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Embedded System Design and Synthesis. Transition. Evolution of computation. Two major sources of changing problems. Impact of scaling on delay

Health Information Technology Standards. Series Editor: Tim Benson

Towards Logic Functions as the Device

Multisector Growth Models

SpringerBriefs in Space Development

Control Engineering. Editorial Advisory Board Okko Bosgra Delft University The Netherlands. William Powers Ford Motor Company (retired) USA

High-Linearity CMOS. RF Front-End Circuits

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES

Dao Companion to the Analects

The European Heritage in Economics and the Social Sciences

International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China

Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK

Ultra-Wideband Radio Frequency Identification Systems

Palgrave Studies in the History of Science and Technology

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

Analysis of Power Gating Structure using CNFET Footer

Faster than Nyquist Signaling

Socio-technical Design of Ubiquitous Computing Systems

Learn Autodesk Inventor 2018 Basics

A Theoretical Approach to Fault Analysis and Mitigation in Nanoscale Fabrics

Physical Oceanography. Developments Since 1950

RF and Microwave Microelectronics Packaging II

LOW POWER DESIGN METHODOLOGIES

Requirements Engineering for Digital Health

Sustainable Development

SpringerBriefs in Electrical and Computer Engineering

Practical Information

Advances in Metaheuristic Algorithms for Optimal Design of Structures

Active Perception in the History of Philosophy

Statistics and Computing. Series Editors: J. Chambers D. Hand

ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design

The European Heritage in Economics and the Social Sciences

Heterogeneous Graphene Nanoribbon-CMOS Multi-State Volatile Random Access Memory Fabric

AS THE semiconductor process is scaled down, the thickness

SEMINAR ON PERSPECTIVES OF NANOTECHNOLOGY FOR RF AND TERAHERTZ ELECTRONICS. February 1, 2013

Building Arduino PLCs

Low Power, Area Efficient FinFET Circuit Design

Computer Supported Cooperative Work. Series Editor Richard Harper Cambridge, United Kingdom

IBM Research - Zurich Research Laboratory

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

Microsystems and Nanosystems

BIOMEDICAL E T H I C S REVIEWS

FPCNA: A Field Programmable Carbon Nanotube Array

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

Fundamentals of III-V Semiconductor MOSFETs

Automotive Painting Technology

Efficient logic architectures for CMOL nanoelectronic circuits

Advanced Decision Making for HVAC Engineers

Neutron Scattering Applications and Techniques

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Performance Analysis of FinFET Based Inverter circuit, NAND and NOR Gate at 22nm and 14nm Node technologies

Technology Roadmapping for Strategy and Innovation

Management of Software Engineering Innovation in Japan

Manufacturing Challenges in Electronic Packaging

Cost Analysis and Estimating

THE INTEGRATION of nanodevices with complementary

1 Introduction

Parameter Variability in Nanoscale Fabrics: Bottom-Up Integrated Exploration

Handbook of Engineering Acoustics

Deep Submicron 50nm CMOS Logic Design With FINFET P.C.Rajashree #1, Ancy Thomas #2, Rose Jaria #3, Jane Precilla #3, Alfred Kirubaraj #4

3D Integration for VLSI Systems

Advances in Game-Based Learning

Design of Optimized Digital Logic Circuits Using FinFET

Time-interleaved Analog-to-Digital Converters

Principles of Data Security

Innovation to Advance Moore s Law Requires Core Technology Revolution

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

Transcription:

Nanoelectronic Circuit Design

Niraj K. Jha l Editors Deming Chen Nanoelectronic Circuit Design

Editors Niraj K. Jha Department of Electrical Engineering Princeton University NJ, USA jha@princeton.edu Deming Chen Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign IL, USA dchen@illinois.edu ISBN 978-1-4419-7444-0 e-isbn 978-1-4419-7609-3 DOI 10.1007/978-1-4419-7609-3 Springer New York Dordrecht Heidelberg London # Springer Science+Business Media, LLC 2011 All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights. Printed on acid-free paper Springer is part of Springer ScienceþBusiness Media (www.springer.com)

Preface After enjoying a three-decade ride as the top semiconductor technology for implementing integrated circuits (ICs), the era of single-gate complementary metal-oxide semiconductors (CMOS) is coming to an end. Waiting in the wings as replacements are various interesting new nanotechnologies. In the past decade, a contender for replacing bulk CMOS technology has been double-gate field-effect transistor technology, most manufacturable of which are FinFETs. There are also other contenders, such as nanowires, carbon nanotubes, graphene nanoribbons, resonant tunneling diodes, quantum cellular automata, etc. We may also soon see hybrid nano/cmos designs in which the memory has been implemented in a new technology, while the processing elements have been implemented in CMOS. Although these nanotechnologies have attracted significant attention over the last decade, the emphasis of interest, as expected, has been more on their physics, chemistry, and fabrication aspects. However, interesting new nanoelectronic circuit designs are beginning to emerge that herald an exciting new era of IC design. These designs deal with both logic as well as interconnect. Our aim in this book is to introduce readers to the emerging design paradigms in various nanotechnologies, and to bridge the existing gap between nanodevice research and nanosystems design. The book focuses on state-of-the-art research activities, yet, at the same time, covers the fundamental principles behind the nanotechnology developments. The ultimate goal is to expose the great potential of nanoelectronic technology and the unique challenges it poses along the deviceto-system spectrum. A rich set of references is included at the end of each chapter to give pointers to readers who want to dig deeper. In addition, some exercises are also included in each chapter to allow the use of the book for a first-year graduate-level course on nanoelectronic circuit design. The book is organized by grouping together chapters on each nanotechnology. Chapter 1 introduces various nanotechnologies. Chapters 2 and 3 deal with FinFET logic and memory design. Chapter 4 describes a nano/cmos dynamically reconfigurable architecture. Chapters 5 7 discuss nanowire-based ICs and architectures. Chapters 8 and 9 describe how reliable logic circuits and field-programmable gate v

vi Preface arrays can be built using nanotubes. Chapter 10 deals with circuit design based on graphene nanoribbon transistors. Chapter 11 compares copper, nanotube, graphene, and optics for implementing interconnects on chips. Chapters 12 and 13 discuss circuit design with resonant tunneling diodes and quantum cellular automata, respectively. The chapters are fairly independent of each other. Thus, any subset can be chosen for a one-semester course. Last, but not the least, Niraj would like to thank his father, Dr. Chintamani Jha, his wife, Shubha, and his son, Ravi, for their encouragement and understanding. Deming would like to thank his wife, Li, and his sons, Jeffrey and Austin, for their love and understanding. Niraj K. Jha Deming Chen

Contents 1 Introduction to Nanotechnology... 1 Deming Chen and Niraj K. Jha 2 FinFET Circuit Design... 23 Prateek Mishra, Anish Muttreja, and Niraj K. Jha 3 FinFET SRAM Design... 55 Rajiv Joshi, Keunwoo Kim, and Rouwaida Kanj 4 A Hybrid Nano/CMOS Dynamically Reconfigurable System... 97 Wei Zhang, Niraj K. Jha, and Li Shang 5 Reliable Circuits Design with Nanowire Arrays... 153 M. Haykel Ben Jamaa and Giovanni De Micheli 6 Leveraging Emerging Technology Through Architectural Exploration for the Routing Fabric of Future FPGAs... 189 Soumya Eachempati, Aman Gayasen, N. Vijaykrishnan, and Mary Jane Irwin 7 Nanoscale Application-Specific Integrated Circuits... 215 Csaba Andras Moritz, Pritish Narayanan, and Chi On Chui 8 Imperfection-Immune Carbon Nanotube VLSI Circuits... 277 Nishant Patil, Albert Lin, Jie Zhang, Hai Wei, H.-S. Philip Wong, and Subhasish Mitra 9 FPCNA: A Carbon Nanotube-Based Programmable Architecture... 307 Chen Dong, Scott Chilstedt, and Deming Chen vii

viii Contents 10 Graphene Transistors and Circuits... 349 Kartik Mohanram and Xuebei Yang 11 Study of Performances of Low-k Cu, CNTs, and Optical Interconnects... 377 Kyung-Hoae Koo and Krishna C. Saraswat 12 Circuit Design with Resonant Tunneling Diodes... 409 Pallav Gupta 13 Circuit Design with Quantum Cellular Automata... 441 Pallav Gupta Index... 479

Contributors Csaba Andras Moritz Department of Electrical and Computer Engineering, University of Massachusetts, Amherst, MA, USA andras@ecs.umass.edu Deming Chen Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, IL, USA dchen@illinois.edu Scott Chilstedt Department of Electrical Engineering, University of Illinois at Urbana Champaign, Urbana, IL, USA Chi On Chui Department of Electrical Engineering, The University of California, Los Angeles, CA, USA Giovanni De Micheli Institute of Electrical Engineering, EPFL, Lausanne, Switzerland giovanni.demicheli@epfl.ch Chen Dong Department of Electrical and Computer Engineering, University of Illinois, at Urbana Champaign, IL, USA cdong3@illinois.edu Soumya Eachempati Department of Computer Science and Engineering, The Pennsylvania State University, University Park, PA, USA Aman Gayasen Department of Computer Science and Engineering, The Pennsylvania State University, University Park, PA, USA ix

x Contributors Pallav Gupta Core CAD Technologies, Intel Corporation, Folsom, CA 95630, USA pallav.gupta@intel.com M. Haykel Ben Jamaa Commissariat à l Energie Atomique, DRT-LETI-DACLE-LISAN, Grenoble Cedex, France Niraj K. Jha Department of Electrical Engineering, Princeton University, NJ, USA jha@princeton.edu Rajiv Joshi IBM, Thomas J. Watson Research Center, Yorktown Heights, NY, USA rvjoshi@us.ibm.com Rouwaida Kanj IBM Austin Research Laboratory, Austin, TX, USA Keunwoo Kim IBM, Thomas J. Watson Research Center, Yorktown Heights, NY, USA Kyung-Hoae Koo Albert Lin Prateek Mishra Department of Electrical Engineering, Princeton University, Princeton, NJ, USA Subhasish Mitra subh@stanford.edu Kartik Mohanram Electrical and Computer Engineering, Rice University, Houston, TX, USA kmram@rice.edu Anish Muttreja nvidia, Santa Clara, CA, USA Pritish Narayanan Department of Electrical and Computer Engineering, University of Massachusetts, Amherst, MA, USA Nishant Patil nppatil@stanford.edu

Contributors xi H. S. Philip Wong Krishna C. Saraswat saraswat@stanford.edu Li Shang Department of Electrical, Computer, and Energy Engineering, University of Colorado, Boulder, CO, USA N. Vijaykrishnan Department of Computer Science and Engineering, The Pennsylvania State University, University Park, PA, USA vijay@cse.psu.edu Hai Wei Xuebei Yang Department of Electrical and Computer Engineering, Rice University, Houston, TX, USA Jie Zhang Wei Zhang School of Computer Engineering, Nanyang Technological University, Singapore