Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement

Similar documents
Optics Communications

Figure 1 Basic waveguide structure

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

A thin foil optical strain gage based on silicon-on-insulator microresonators

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm

On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices

Compact wavelength router based on a Silicon-on-insulator arrayed waveguide grating pigtailed to a fiber array

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography

Reduction in Sidelobe Level in Ultracompact Arrayed Waveguide Grating Demultiplexer Based on Si Wire Waveguide

WAVELENGTH division multiplexing (WDM) is now

Reduction in Sidelobe Level in Ultracompact Arrayed Waveguide Grating Demultiplexer Based on Si Wire Waveguide

High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible Silicon-On-Insulator platform

Silicon Carrier-Depletion-Based Mach-Zehnder and Ring Modulators with Different Doping Patterns for Telecommunication and Optical Interconnect

Demonstration of Silicon-on-insulator midinfrared spectrometers operating at 3.8μm

Waveguiding in PMMA photonic crystals

Plane wave excitation by taper array for optical leaky waveguide antenna

Grating coupled photonic crystal demultiplexer with integrated detectors on InPmembrane

Silicon Photonic Device Based on Bragg Grating Waveguide

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Supplementary information for Stretchable photonic crystal cavity with

Optomechanical coupling in photonic crystal supported nanomechanical waveguides

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

HIGH-INDEX contrast material technology, and especially

Propagation loss study of very compact GaAs/AlGaAs substrate removed waveguides

Fabrication of Photonic Wire and Crystal Circuits in Silicon-on-Insulator Using 193nm Optical Lithography

UC Santa Barbara UC Santa Barbara Previously Published Works

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit

Cost-effective CMOS-compatible grating couplers with backside metal mirror and 69% coupling efficiency

Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on-Sapphire Mach Zehnder Interferometers

Comparison of AWGs and Echelle Gratings for Wavelength Division Multiplexing on Silicon-on-Insulator

SILICON-ON-INSULATOR (SOI) is emerging as an interesting

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

Development of Vertical Spot Size Converter (SSC) with Low Coupling Loss Using 2.5%Δ Silica-Based Planar Lightwave Circuit

Two-dimensional optical phased array antenna on silicon-on-insulator

Comparison between strip and rib SOI microwaveguides for intra-chip light distribution

Design Rules for Silicon Photonics Prototyping

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

Analysis of characteristics of bent rib waveguides

Silicon photonic devices based on binary blazed gratings

Fabrication tolerant polarization splitter and rotator based on a tapered directional coupler

Compact Trench-Based Silicon-On-Insulator Rib Waveguide Ring Resonator With Large Free Spectral Range

Athermal silicon ring resonators clad with titanium dioxide for 1.3µm wavelength operation

Investigation of ultrasmall 1 x N AWG for SOI- Based AWG demodulation integration microsystem

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

Tuning of Silicon-On-Insulator Ring Resonators with Liquid Crystal Cladding using the Longitudinal Field Component

New Waveguide Fabrication Techniques for Next-generation PLCs

Two bit optical analog-to-digital converter based on photonic crystals

Large Scale Silicon Photonic MEMS Switch

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects

Title. Author(s)Fujisawa, Takeshi; Koshiba, Masanori. CitationOptics Letters, 31(1): Issue Date Doc URL. Rights. Type.

CMOS-compatible highly efficient polarization splitter and rotator based on a double-etched directional coupler

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Design and characterization of low loss 50 picoseconds delay line on SOI platform

Microring-resonator-based sensor measuring both the concentration and temperature of a solution

Low-loss singlemode PECVD silicon nitride photonic wire waveguides for nm wavelength window fabricated within a CMOS pilot line

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical

COMPACT TRENCH BASED BEND AND SPLITTER DEVICES FOR SILICON-ON-INSULATOR RIB WAVEGUIDES. Yusheng Qian. A dissertation submitted to the faculty of

Applications of Cladding Stress Induced Effects for Advanced Polarization Control in Silicon Photonics

Department of Microelectronics, Faculty of Electrical Engineering, CTU, Prague Technicka 2, Prague 6, Czech Republic 2

Low-loss Si 3 N 4 arrayed-waveguide grating (de)multiplexer using nano-core optical waveguides

On-chip Si-based Bragg cladding waveguide with high index contrast bilayers

Fully-Etched Grating Coupler with Low Back Reflection

Compact and low loss silicon-on-insulator rib waveguide 90 bend

Supporting Information: Plasmonic and Silicon Photonic Waveguides

Title. Author(s)Saitoh, Emi; Kawaguchi, Yuki; Saitoh, Kunimasa; Kosh. CitationOptics Express, 19(17): Issue Date

InGaAsP photonic band gap crystal membrane microresonators*

Heinrich-Hertz-Institut Berlin

A new class of LC-resonator for micro-magnetic sensor application

Nanofluidic Diodes based on Nanotube Heterojunctions

160MER, Austin, TX-78758, USA ABSTRACT 1. INTRODUCTION

Nonuniform output characteristics of laser diode with wet-etched spot-size converter

Ring resonator based SOI biosensors

Deliverable Report. Deliverable No: D2.9 Deliverable Title: OAM waveguide transmission

Compact silicon microring resonators with ultralow propagation loss in the C band

193nm immersion lithography for high performance silicon photonic circuits

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b,

Title. Author(s)Saitoh, Fumiya; Saitoh, Kunimasa; Koshiba, Masanori. CitationOptics Express, 18(5): Issue Date Doc URL.

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Ultra-compact, flat-top demultiplexer using anti-reflection contra-directional couplers for CWDM networks on silicon

Si and InP Integration in the HELIOS project

Low Loss Ultra-Small Branches in a Silicon Photonic Wire Waveguide

Sub-50 nm period patterns with EUV interference lithography

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

SILICON-BASED waveguides [1] [5] are attractive for

LASER &PHOTONICS REVIEWS

Silicon-on-insulator nanophotonics

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO

Hybrid vertical-cavity laser integration on silicon

Photonic Integrated Circuits Made in Berlin

Towards a fully integrated optical gyroscope using whispering gallery modes resonators

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Optical Interconnection in Silicon LSI

PERFORMANCE ENHANCEMENT OF OPTICAL MICRORING RESONATOR USING TAGUCHI METHOD EXPERIMENTAL DESIGN

DIFFERENT approaches exist to realize broadband integrated

A tunable Si CMOS photonic multiplexer/de-multiplexer

Transcription:

Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement Shankar Kumar Selvaraja, Wim Bogaerts, Dries Van Thourhout Photonic research group, Department of Information Technology (INTEC), Ghent University-imec, B-9000 Ghent, Belgium. Abstract Single mode silicon photonic wire waveguides allow low-loss sharp micro-bends, which enables compact photonic devices and circuits. The circuit compactness is achieved at the cost of loss induced by micro-bends, which can seriously affect the device performance. The bend loss strongly depends on the bend radius, polarization, waveguide dimension and profile. In this paper, we present the effect of waveguide profile on the bend loss. We present waveguide profile improvement with optimized etch chemistry and the role of etch chemistry in adapting the etch profile of silicon is investigated. We experimentally demonstrate that by making the waveguide sidewalls vertical, the bend loss can be reduced up to 25% without affecting the propagation loss of the photonic wires. The bend loss of a 2 µm bend has been reduced from 0.039dB/90 o bend to 0.028dB/90 o bend by changing the sidewall angle from 81 o to 90 o respectively. The propagation loss of 2.7 ± 0.1dB/cm and 3 ± 0.09dB/cm was observed for sloped and vertical photonic wires respectively was obtained. Key words: Integrated nanophotonic, Waveguides, micro-bends, Silicon nanophotonics and Plasma etching PACS: 42.82.-m, 42.82.Et, 81.07.-b, 52.77.Bn 1 Introduction Scaling down of devices and circuits is the key for advancement in technology and functionality; microelectronics is an excellent example. In microelectronics, scaling is mainly driven by fabrication technology, in contrast, material technology dictated the size of the devices in integrated photonics. For example, the size of a device can be reduced by six orders of magnitude by increasing the refractive index contrast ( = (n 2 core n 2 clad)/2n 2 core) from 0.007 Preprint submitted to Elsevier 31 December 2010

to 0.412. High index contrast material technology, in particular, silicon-oninsulator (SOI) enables sub-micron waveguide cross-section and micrometer scale waveguide bends. The micro-bends forms an essential component in compact circuits and device design [1]. In addition, manufacturing photonic circuits with the existing microelectronics fabrication technology is an added advantage in making silicon (Si) photonics competitive in the market. Using such fabrication process, various active and passive photonics devices have been demonstrated. In particular, exploiting micro-bends are arrayed waveguide gratings (AWG), mach-zehnder interferometers, and ring resonators for various applications [2,3]. Recently, there has been considerable interest to estimate losses in the microbends using numerical and analytical methods [4,5]. Various loss mechanisms, such as transition loss and polarization conversion loss were numerically calculated assuming a symmetrical waveguide geometry. However, in practice the fabricated waveguides are asymmetric (or with sloped sidewalls). Modelling such an asymmetric waveguides is not straightforward, this requires a fine grid definition in the computation window, which often results in a computationally challenging task. Despite this challenge, Sakai et al have found that the waveguide sidewall angle is crucial for low loss micro-bends [5]. Deviation from perfectly vertical sidewalls results in polarization cross talks between TE and TM like modes in the micro-bends. This loss gives rise to undesirable bend loss for polarization sensitive waveguide circuit and for general power budget in a photonic circuit. In this paper, we investigate the etch profile improvement of Si photonic wires and its effect on the bend loss in the micro-bends. The waveguide profile is tuned by varying the etch chemistry of the dry etch process. We demonstrate that by increasing the verticality of the photonic wire sidewalls the bend loss in the micro-bends can be reduced as much as 25%. 2 Experiment design The etch experiments were carried out in a 200 mm pilot-line facility at IMEC, Belgium. To reduce the process development costs, 200 mm dummy SOI wafers were fabricated by depositing 220 nm of amorphous Si on top of 2000 nm high density plasma silicon dioxide instead of crystalline SOI wafers. After layer deposition, the circuit pattern is first transferred into the photoresist using 193 nm optical lithography and followed by dry etching [6]. During lithography, the wafers are coated with 77 nm of organic bottom anti-reflective coating layer (BARC) and 330 nm of photoresist. The wafer is then exposed and developed (Fig.1). After optical lithography, the wafers are dry etched using an Inductively Coupled Plasma-reactive ion etching (ICP-RIE) process. 2

Photoresist BARC Silicon Silicon dioxide Fig. 1. Cross-section electron micrography layer stack after photolithography. 2.1 Etching system and sequence The etch experiments were carried out in a 200 mm industrial tool, which consists of a high density inductively coupled plasma chamber and a loadlock. The source power was inductively coupled to the plasma through the quartz top plate in the chamber, while the wafer temperature was kept at 60 o C. The etch gases used in our study are Cl 2, O 2, HBr, CF 4 and SF 6. Table 1 summarizes the sequence of the etching processes inside the chamber and the gas mixtures. In addition to the etch steps, the chamber is cleaned after etching each wafer using a F based plasma to create a stable chamber condition for the subsequent etch process. After chamber cleaning, the wafer is loaded into the chamber for etching. The BARC layer is opened first (step 1) to access the underlying Si, followed by photoresist hardening using HBr to improve etch selectivity between the photoresist and Si [7]. Before the actual Si etch, the native oxide is removed (step 3). The Si etch is carried out in 3 steps, firstly, a F containing plasma (step 4, main etch 1) is used to etch 44 nm of Si followed by a highly selective HBr/O2 plasma (step 5, main etch 2) to etch the major part of Si. Finally, over etch (step 6, over etch) is applied to remove any footing in Si. After completing the Si etch, the remaining photoresist and the BARC layer are stripped in-situ using O 2 /SF 6 plasma (step 7). The wafers are further cleaned, first, by using a dry plasma clean to remove any remaining polymers and then by wet chemical clean. The wet clean is performed using an ammonium peroxide mixture and a sulphuric acid peroxide mixture. It is well known that the dry etching process can be anisotropic. In order to achieve anisotropic Si etching we use sidewall protection olso referred to 3

Table 1 Etch process sequence and gases. Step No. Process step Etch gas Gas ratio (%) 1 BARC Open HBr/O 2 75/25 2 Photoresist hardening (PRH) HBr 100 3 Oxide break through (BT) CF 4 /CH 2 F 2 89/11 4 Main etch 1 (ME1) Cl 2 /HBr/CF 4 /O 2 17/34/48/1 5 Main etch 2 (ME2) HBr/O 2 100-97.5/0-2.5 6 Over etch (OE) HBr/O 2 /He 32/1/67 7 Resist strip O 2 /SF 6 95/5 as sidewall passivation layer. It has been shown that the thickness of the passivation layer (PL) formed on the sidewalls of Si during etching determines the etch profile [8]. The constituents in the PL come from both the etch plasma and the etch by-products. By controlling the constituents, the thickness of the PL can be modified. Since main etch 2(ME2) is the major contributor to the Si etch and the etch profile, we exploit the etch chemistry in ME2 to tune the etch profile. In our experiment, we study the effect of O 2 on the etch profile of Si by varying the percentage of O 2 in the feed gas between 0-2.5 % in ME2, while other etch steps were kept unchanged. 2.2 Etch rate and profile analysis The Si etch rate is deduced from the etch depth of a 2000 nm wide Si trench. A cross-section scanning electron microscope (XSEM) is used to measure the etch depth and profile for different chemistries. The image from XSEM is further processed using image processing algorithms in order to remove SEM-image artefacts and accurately extract the waveguide sidewall angles. 2.3 Photonic test structure Single-mode photonic wire waveguides (450 220nm) were used as test structures to study the effect of waveguide profile on the propagation loss and bend loss. In order to extract these two losses effectively, photonic wires with various lengths (5-50 mm) were spiralled with different bending radius (2, 3, and 5 µm) and number of bends (50-550) [6]. Grating couplers were used to couple TE polarized light from a single mode optical fiber into the waveguides. The single mode waveguides were adiabatically tapered to a broad waveguide of 10 µm in order to increase the coupling efficiency to a single mode optical 4

160 140 2.3% O 2 0.8% O 2 120 Etch depth [nm] 100 80 60 40 20 20 40 60 80 100 120 140 160 180 Etch time [sec] Fig. 2. Silicon etch rate with 0.8 and 2.3 % of O 2 in main etch 2(ME2). fiber [9]. Apart from efficient light coupling, the grating couplers are highly polarization sensitive any polarization conversion in the micro-bends can be measured as transmission loss from the waveguides. 3 Results and Discussions 3.1 Si etch rate We observe a slight increase in the Si etch rate with an increase in O 2 concentration (Fig.2). An etch rate of 60 nm/min and 72 nm/min was obtained for 0.8 and 2.3 % respectively of O 2 the in ME2 feed gas. The increase in the etch rate can be attributed to unsaturation of polymeric volatile etch byproducts by O atoms. This unsaturation results in release of reactive Br atoms from etch byproducts, which increases the etch rate. However, at a higher concentration, O atom chemisorbs on to the Si surface and reduces the etch rate. Thus by using an optimum amount of O 2 the Si etch rate can be kept high enough for good wafer throughput. 3.2 Sidewall angle analysis Following etching 220 nm of Si with different concentrations of O 2 in HBr/O 2, the waveguide profile was characterized using a XSEM. Fig. 3 shows the sidewall profile of a photonic wire etched with three different concentrations of O 2. As mentioned before ME1 was kept constant for all the three cases. This allows us to confirm that the profile change is due to ME2 and not due to other effects, such as photoresist erosion. 5

(a) (b) (c) ME1 ME2 Fig. 3. Si etch profile as a function of O 2 concentration a) 0 %,b)0.8 % and, c) 2.3 %. The dotted lines shows the pattern defined by ME1 and ME2 Fig. 4. Silicon etch profile a) before, b) after resist strip and clean and c) schematic of passivation layer thickness on the sidewalls When exposed to a pure HBr plasma, we observe isotropic Si etching (Fig.3a) due to the absence of PL on the sidewalls, which exposes Si to aggressive Br radicals. Anisotropy can be achieved by protecting the sidewalls with a PL containing Si/O/Br/C, which is chemically resistant to Br radicals. In particular, SiO x Br y like compounds are good sidewall inhibitors to achieve anisotropic Si etching [8]. The thickness of the PL depends on the amount of Si, O, Br and C in it. By increasing the concentration of any of the constituents, the thickness can be increased. In our case, when a small amount of O 2 is added in the feed gas, the etch process is made anisotropic (Fig. 3b & c) as a result of sufficient PL deposition on the sidewalls. Even though a PL facilitates anisotropic etching, it modifies the etch profile. The top of the wire is exposed longer than the bottom resulting in a thicker PL at the top than at the bottom (Fig. 4c). Fig. 4a shows a photonic wire before photoresist removal and cleaning, where a vertical sidewall can be clearly observed. However, after cleaning the photoresist and the PL layer the actual etch profile is revealed (Fig.4b). This clearly shows the gradient in the PL thickness along the height of a photonic wire sidewall. By controlling the PL thickness the sidewall angle can be tuned (Fig. 5). Fig. 6 shows a Photonic wire fabricated with the optimum O 2 concentration (0.75%) in ME2 and reducing ME1 etch duration. 3.3 Photonic wire propagation and bend loss The photonic wire and micro-bends were optically characterized by coupling TE polarized light in and out of the waveguides using identical grating fiber 6

92 90 Sidewall angle [ o Degrees] 88 86 84 82 80 78 0.5 1.0 1.5 2.0 2.5 O 2 Flow [%] Fig. 5. Sidewall angle as a function of O 2 concentration in HBr/O 2. Fig. 6. Silicon photonic wire with vertical profile etched with optimal(0.75%) O 2 concentration. couplers. The light from a broad band light source (Superluminescent-LED) or a tunable laser is launched into the waveguides through polarization controlling wheels. The output is measured using an optical spectrum analyzer or a power-meter. The transmitted power is measured and projected against photonic wire length and number of micro-bends in a three dimensional space. By fitting a plane, we could extract the propagation loss and bend loss at the same time. From the propagation loss characterization, we observed a propagation loss of 3±0.1dB/cm and 2.7±0.09dB/cm for vertical (90 o ) and sloped (81 o ) sidewall wires respectively (Fig.7). The difference of 0.3dB/cm in propagation loss can be attributed to slight increase in the sidewall roughness due to thinner PL thickness on the photonic wire with vertical sidewalls. We do observe a decrease in micro-bend loss of photonic wires with vertical sidewalls. Fig. 7 shows the bend loss of micro-bends with different bend radius and sidewall angle. There is a clear indication that the bend loss of a vertical sidewall bend decreases by 25% from a sloped sidewall bend. The bend loss shows a typical loss trend when the bend radius is decreased as a consequence of an increase in perturbation. We observe bend loss as low as 0.015 and 0.028 db/90 o bend for bend radius of 3 and 2 µm respectively for vertical photonic 7

Absolute Transmission [dbm] -10-20 -30-40 -50 Vertical Sidewall Sloped Sidewall db/cm db/cm Excess bend loss [db/90 o ] 0.04 0.03 0.02 0.01 Sloped Sidewall Vertical Sidewall -60 0 1 2 3 4 5 6 Photonic wire length [mm] 2 3 4 5 Bending radius [ m] Fig. 7. (Left)Propagation loss of a photonic wire with sloped (81 o )and vertical (90 o ) sidewall profile and (Right) Comparison of bend loss of sloped (81 o ) and vertical (90 o ) photonic wire. wire bends, while for sloped wires the bend loss stood at 0.029 and 0.039 db/90 o bend for 3 and 2 µm bend radius respectively. The improvement in the bend loss of narrow bends can be attributed mainly to a reduction in the polarization conversion loss in the bends due to the symmetric waveguide profile. However, no significant change was observed for large bends ( 5 µm), where the perturbation is less significant. The results obtained from our experiments agree well with the trend obtained from 3-D FDTD simulations by Sakai et al [5]. Since the sidewall roughness of both waveguide profiles are similar, the micro-bend loss reduction can be clearly attributed to photonic wire sidewall angle. The tradeoff between the micro-bend loss and propagation loss of the vertical sidewall photonic wires can be compensated by using post-fabrication treatements[10]. Various processes, such as wet chemical etching and oxidation has been proposed to reduce the sidewall roughness generated during the patterning process. 4 Conclusions We have shown that by modifying the dry etch chemistry the sidewall angle of a silicon photonic wire can be tuned. The contribution of O 2 to the sidewall passivation layer thickness and controlling the sidewall angle has been studied. The bend loss, which includes polarization conversion and transition losses is reduced from 0.039±dB/90 o bend to 0.028dB/90 o bend by changing the sidewall angle from 81 o to 90 o respectively. For <5µm bends the bend loss reduces as much as 25%, while for >5µm bends the improvement was very minimal. The change in the propagation loss of a sloped (2.7dB/cm) and vertical (3dB/cm) sidewall photonics wires was keptas low as at +0.3dB/cm. 8

References [1] A. M. Prabhu, A. Tsay, Z. H. Han, and V. Van, IEEE Photonics Technol. Lett. (21) 2009 651. [2] W. Bogaerts, S. Selvaraja, P. Dumon, J. Brouckaert, K. De Vos, D. Van Thourhout, R. Baets, IEEE J. Sel. Top. Quantum Electron. (16) 2010 33. [3] F. Ohno, T. Fukazawa, and T. Baba, Jpn. J. Appl. Phys., Part-1 (44) 2005 5322. [4] S. Zhen, D. Daoxin, and H. Sailing, IEEE J. Sel. Top. Quantum Electron. (15) 2009 1406. [5] A. Sakai, T. Fukazawa, and T. Baba, J. Lightwave Technol., vol. 22, pp. 520-525, Feb 2004. [6] S. K. Selvaraja, P. Jaenen, W. Bogaerts, D. Van Thourhout, P. Dumon, and R. G. Baets, J. Lightwave Technol. (27) 2009 4076. [7] M. C. Kim, D. Shamiryan, Y. Jung, W. Boullart, C. J. Kang, and H. K. Cho, J. Vac. Sci. Technol. B (24) 2006 2645. [8] X. Detter, R. Palla, I. Thomas-Boutherin, E. Pargon, G. Cunge, O. Joubert, and L. Vallier, J. Vac. Sci. Technol. B (21) 2003 2174. [9] D. Taillaert, F. Van Laere, M. Ayre, W. Bogaerts, D. Van Thourhout, P. Bienstman, and R. Baets, Jpn. J. Appl. Phys., Part 1 (45) 2006 6071. [10] D. K. Sparacin, S. J. Spector, and L. C. Kimerling, J. Lightwave Technol. (23) 2005 2455. [11] K. K. Lee, D. R. Lim, L. C. Kimerling, J. Shin, and F. Cerrina, Opt. Lett., (26) 2001 1888. 9