PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

Similar documents
Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

Design & Analysis of Low Power Full Adder

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

ISSN:

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

Investigation on Performance of high speed CMOS Full adder Circuits

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

2-Bit Magnitude Comparator Design Using Different Logic Styles

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

Design Analysis of 1-bit Comparator using 45nm Technology

Efficient Implementation of Combinational Circuits Using PTL

Low-Power High-Speed Double Gate 1-bit Full Adder Cell

II. Previous Work. III. New 8T Adder Design

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

A Literature Survey on Low PDP Adder Circuits

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power &High Speed Domino XOR Cell

Design of Low Power ALU using GDI Technique

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Enhancement of Design Quality for an 8-bit ALU

Optimization of Digitally Controlled Oscillator with Low Power

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Implementation of Carry Select Adder using CMOS Full Adder

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

VLSI Designed Low Power Based DPDT Switch

ISSN Vol.04, Issue.05, May-2016, Pages:

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

Implementation of Full Adder using Cmos Logic

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

POWER EVALUATION OF ADIABATIC LOGIC CIRCUITS IN 45NM TECHNOLOGY

Low Power Adiabatic Logic Design

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Gdi Technique Based Carry Look Ahead Adder Design

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

UNIT-II LOW POWER VLSI DESIGN APPROACHES

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

AND 5GHz ABSTRACTT. easily detected. the transition. for half duration. cycle highh voltage is send. this. data bit frame. the the. data.

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Low Power, Area Efficient FinFET Circuit Design

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

Analysis of GDI Technique for Digital Circuit Design

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER

2-BIT MAGNITUDE COMPARATOR DESIGN USING DIFFERENT LOGIC STYLES

Design of low-power, high performance flip-flops

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR

EE 434 ASIC & Digital Systems

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY

Improved DFT for Testing Power Switches

Design and Implementation of Complex Multiplier Using Compressors

UNIT-III POWER ESTIMATION AND ANALYSIS

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design of Low power multiplexers using different Logics

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY

Implementation of Low Power High Speed Full Adder Using GDI Mux

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

Transcription:

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics and Communication Engineering, Graphic Era University, Dehradun, (India) ABSTRACT This paper deals with backend implementation of gates using 0.18µm technology in Cadence Virtuoso 6.14 software and optimization of factors like power dissipation, size and number of transistors involved in digital circuits. So, optimizing these components will ultimately result in optimized utilization of all kinds of resources. This project also throws light on innovative transistor-transistor logic (TTL) structures of various digital components like AND gate, OR gate etc. based on pass transistor logic. These structures utilize fewer transistors and dissipate lesser power in comparison to same devices implemented by conventional CMOS logic. I. INTRODUCTION Pass transistor logic (PTL) have become popular since they offer the possibility to implement high speed and low power circuits in certain applications. A large number of such circuits have been developed with increased performance in terms of speed and power efficiency as well as synthesis methodologies that target pass transistor implementations Generally, the use of pass transistor logic leads to reduced transistor count and smaller node capacitances thus decreasing the required area, rise/fall times and power dissipation. From the implementation point of view, the efficient design of integrated circuits depends strongly on CAD tools that can estimate their performance fast and accurately. Since the transistor count on integrated circuits is increasing, there is an intense need for modeling techniques which can offer sufficient accuracy but are orders of magnitude faster than tools based on numerical methods. II. LOGIC STYLES The logic style used in logic gates basically influences the speed, size, power dissipation, and the wiring complexity of a Circuit. The circuit delay is determined by the number of inversion levels, the number of transistors in series, transistor sizes. Circuit size depends on the number of transistors and their sizes, and also on the wiring complexity. Power dissipation is determined by the switching activity and the node capacitances (made up of gate, diffusion, and wire capacitances), the latter of which in turn is a function of the same parameters that also control circuit size. Finally, the wiring complexity is determined by the number of connections and their lengths. All these characteristic may vary considerably from one logic style to another and thus make the proper choice of logic style crucial for circuit performance. As far as cell-based design techniques (e.g., standard-cells) and logic synthesis are concerned, ease-of-use and generality of logic gates is of importance as well. 38 P a g e

PTL design style has emerged as a promising alternative to conventional CMOS, for low power design. Pass transistor logic device consumes much lesser power in comparison to CMOS logic device implementing same logic. (1)* Here P avg is average power dissipation, α Ti is correspondence node transition node factor, C i is parasitic capacitance associated with each node, V i is node voltage, V DD is power supply voltage and f CLK is clock frequency. From equation, it is clear that the average power dissipation of every device is directly dependent on number of nodes (i.e. transistors) and supply voltage. With reduction in any of them we can achieve reduction in average power dissipation of the devices. Pass transistor logic not only reduces the power dissipation but also reduces overall size of chip, making it more efficient and economical. Here is an example in which AND gate, OR gate etc. are implemented using pass transistor logic and CMOS logic and their results are analyzed. III. EXPERIMENTAL RESULTS In this paper PTL design of AND, OR and NAND is considered. The parameters considered are power dissipation, rise time, fall time and propagation delay. PARAMETER CMOS VALUE PASS VALUE Technology(length) 180nm 180nm Supply Voltage(V DD ) 1.8 Volts 1.8 Volts Width Pmos(4um) Nmos(2um) Pmos(4um) Nmos(2um) Rise time (i/p 10p) 0.8415ns 0.11663ns Fall time (i/p 10p) 0.6080ns 0.0101475ns Propagation delay (i/p 0) 0.61415ns 0.007075ns Power consumption 4.1579513*10^-11 W 9.3531277*10^-16 W No. of transistors 6 2 39 P a g e

Figure.2 OR GATE PARAMETER CMOS VALUE PASS VALUE Technology(length) 180nm 180nm Supply Voltage(V DD ) 1.8 Volts 1.8 Volts Width Pmos(4um) Nmos(2um) Pmos(4um) Nmos(2um) Rise time (i/p 10p) 0.06834ns 0.0116ns Fall time (i/p 10p) 0.082006ns 0.00835ns Propagation delay (i/p 0) 0.0638165ns 0.00971245ns Power consumption 2.7833563*10^-11 W 9.3531277*10^-11 W No. of transistors 6 2 Figure.3 NAND GATE 40 P a g e

PARAMETER CMOS VALUE Technology(length) 180nm PASS VALUE 180nm Supply 1.8 Volts 1.8 Volts Voltage(V DD ) Width Pmos(4um) Nmos(2um) Pmos(4um) Nmos(2um) Rise time (i/p 10p) 0.03475ns 0.006715ns Fall time (i/p 10p) 0.03315ns 0.02809ns Propagation delay 0.02230022ns 0.016052ns (i/p 0) Power consumption 7 1817942*10^- 12 W 2.7257037*10^- 11 W No.of transistors 4 5 The comparative results of various designs are shown in Fig 3, 4 and 5. IV. CONCLUSION Transistors in gates designed by pass transistor logic are less than the number of transistors utilized in CMOS logic design. According to equation (1) with decrease in number of transistors overall power dissipation of the device also decreases. Interconnects are minimized in the circuit, hence minimizing the propagation delay occurring in interconnects. Problem with PTL is the restoration of the output level leading to decrease in noise margin. REFERENCES Journal Papers [1] IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 1997. Books [2] N. Weste, K. Eshranghian, Principles of CMOS VLSI Design: A System Perspective, Reading MA: Addison-Wesley, (1993). [3] Sung-Mo Kang, Y. Leblibici, CMOS Digital Integrated Circuits: Analysis and Design, Addition-Tata McGraw Hill,(2003). [4] Synopsys Inc, Library Compiler User Guide, Volume II, Chapters I III, 1999 41 P a g e

Theses [5] Comparison between nmos Pass Transistor logic style vs. CMOS Complementary Cells, Rakesh Mehrotra, Massoud Pedram Xunwei Wu Dept. of E.E.-Systems Proceedings Papers [6] M. Cheng, M. Irwin, K. Li, and W. Ye, Power Characterization of Functional Units, Conference Record of the Thirty-Third Asilomar Conference on Signals, Systems, and Computers, IEEE, Vol.1, pp. 775-779, 1999 [7] M. A. Cirit, Characterizing a VLSI Standard Cell Library, Proceedings of Custom Integrated Circuit Conference, IEEE, pp. 25.7.1-25.7.4, 1991 [8] J.F. Croix and D.F. Wong, A Fast and Accurate Technique to Optimize Characterization Tables for Logic Synthesis, Proceedings of Design Automation Conference, IEEE, pp. 337-340, 1997 [9] Jing-Yang Jou, Jing-Yuan Lin and Wen-Zen Shen, A Power Modeling and Characterization Method for the CMOS Standard Cell Library, Digest of Technical Papers, International Conference on Computer Aided Design, IEEE, pp. 400-404, 1990 [10] Dhimant Patel, CHARMS: Characterization and Modeling System for Accurate Delay Prediction of ASIC Designs, Proceedings of Custom Integrated Circuit Conference, IEEE,pp. 9.5.1-9.5.6, 1990 [11] Arun Pratap Singh Rathod, Brijesh Kumar, S. C. Yadav and Poornima Mittal, Low power VLSI design using pass transistor logic, National Technical Expo. 2014, Jointly by NRDC New Delhi and Graphic Era University Dehradun, at Dehradun, India, April-26-27, 2014. 42 P a g e