Department of Electronics and Communication Engineering

Similar documents
LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

Laboratory Manual CS (P) Digital Systems Lab

EXPERIMENT NO 1 TRUTH TABLE (1)

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished

COLLEGE OF ENGINEERING, NASIK

UNIT-IV Combinational Logic

Digital Applications (CETT 1415) Credit: 4 semester credit hours (3 hours lecture, 4 hours lab) Prerequisite: CETT 1403 & CETT 1405

Fan in: The number of inputs of a logic gate can handle.

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING

Sr. No. Instrument Specifications. TTL (Transistor-Transistor Logic) based on bipolar junction transistors

CS302 - Digital Logic Design Glossary By

Practical Workbook Logic Design & Switching Theory

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification:

IES Digital Mock Test

COMBINATIONAL CIRCUIT

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits

Digital Applications (CETT 1415) Credit: 4 semester credit hours (3 hours lecture, 4 hours lab) Prerequisite: CETT 1403 & CETT 1405

Function Table of an Odd-Parity Generator Circuit

UNIT III. Designing Combinatorial Circuits. Adders

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) MODEL ANSWER

DIGITAL ELECTRONICS QUESTION BANK

CONTENTS Sl. No. Experiment Page No

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

Electronics. Digital Electronics

Dhanalakshmi College of Engineering

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28

NORTH MAHARASHTRA UNIVERSITY, JALGAON

Combinational Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science

Combinational Logic Circuits. Combinational Logic

Digital Electronics Course Objectives

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

Government of Karnataka Department of Technical Education Board of Technical Examinations, Bengaluru

Digital Electronic Concepts

Subtractor Logic Schematic

B.C.A 2017 DIGITAL ELECTRONICS BCA104T MODULE SPECIFICATION SHEET. Course Outline

Lecture 15 Analysis of Combinational Circuits

Experiment # 4. Binary Addition & Subtraction. Eng. Waleed Y. Mousa

Experiment # 3 Combinational Circuits (I) Binary Addition and Subtraction

Combinational Circuits DC-IV (Part I) Notes


Gates and Circuits 1

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100

Digital Logic Circuits

Digital Logic Design ELCT 201

4:Combinational logic circuits. 3 July

2 Building Blocks. There is often the need to compare two binary values.

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02)

GUJARAT TECHNOLOGICAL UNIVERSITY, AHMEDABAD, GUJARAT COURSE CURRICULUM. Course Title: Digital Electronics (Code: )

Unit level 4 Credit value 15. Introduction. Learning Outcomes

NORTH MAHARASHTRA UNIVERSITY. F.Y. B. Sc. Electronics. Syllabus. Wieth effect from june2015

JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS. 6 Credit Hours. Prepared by: Dennis Eimer

Laboratory Session-1: Introduction to Analog Electronic components and Multi Sim.

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

Linear & Digital IC Applications (BRIDGE COURSE)

Preface... iii. Chapter 1: Diodes and Circuits... 1

TABLE 3-2 Truth Table for Code Converter Example

Mahaveer Institute of Science & Technology

Course Outline Cover Page

Lecture 02: Digital Logic Review

DELD UNIT 3. Question Option A Option B Option C Option D Correct Option A B C

Digital Electronics. A. I can list five basic safety rules for electronics. B. I can properly display large and small numbers in proper notation,

S-[F] NPW-02 June All Syllabus B.Sc. [Electronics] Ist Year Semester-I & II.doc - 1 -

15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL

COMBINATIONAL LOGIC CIRCUIT First Class. Dr. AMMAR ABDUL-HAMED KHADER

DELD MODEL ANSWER DEC 2018

Paper No. Name of the Paper Theory marks Practical marks Periods per week Semester-I I Semiconductor

Odd-Prime Number Detector The table of minterms is represented. Table 13.1

1. The decimal number 62 is represented in hexadecimal (base 16) and binary (base 2) respectively as

1.) If a 3 input NOR gate has eight input possibilities, how many of those possibilities result in a HIGH output? (a.) 1 (b.) 2 (c.) 3 (d.) 7 (e.

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS

Module -18 Flip flops

Gates and and Circuits

Syllabus: Digital Electronics (DE) (Project Lead The Way)

EEE 301 Digital Electronics

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

0 0 Q Q Q Q

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output.

CHW 261: Logic Design

Digital. Design. R. Ananda Natarajan B C D

UNIT-2: BOOLEAN EXPRESSIONS AND COMBINATIONAL LOGIC CIRCUITS

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these


Electronic Components And Circuit Analysis

Data Logger by Carsten Kristiansen Napier University. November 2004

Digital Electronics 8. Multiplexer & Demultiplexer

Unit 3. Logic Design

Spec. Instructor: Center

GATE Online Free Material

NUMBER SYSTEM AND CODES

SIMULATION DESIGN TOOL LABORATORY MANUAL

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs

Syllabus for: Electronics for F Y B Sc (Electronics) Semester- 1 (With effect from June 2014) PAPER I: Basic Electrical Circuits

Course Overview. Course Overview

Serial Addition. Lecture 29 1

Digital Electronics. Functions of Combinational Logic

Transcription:

Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : :

LIST OF EXPERIMENTS Sl No Experiments Page No Study of logic gates 4 2 Design and implementation of adders and subtractors using logic gates 9 3 Design and implementation of encoder and decoder using logic gates. 5 4 Design and implementation of multiplexer and demultiplexer using logic gates. 2 5 Design and implementation of 2-bit magnitude comparator using logic gates, 28 6 Design and implementation of 6-bit odd/even parity checker. 32 7 Design and implementation of Flipflops using logic gates. 36 8 Design and implementation of code converters using logic gates. 4 9 Design and implementation of counters. 56 Design and Implementation of shift registers 67 2

INDEX Expt. Expt. Name of the Experiment Marks Staff SIGN \ 3

STUDY OF LOGIC GATES Ex No: Date: Aim: To study and verify the Truth Tables of AND, OR, NOT, NAND, NOR EXOR logic gates for positive logic. Objective: To get familiar with the usage of the available lab equipments. To get familiar with Prototyping board (breadboard) To describe and verify the operation for the AND, OR, NOT, NAND, NOR, XOR gates. To study the representation of these functions by truth tables, logic diagrams and Boolean algebra To Introduce a basic knowledge in integrated circuit devices operation To practice how to build a simple digital circuit using ICs and other digital components. Learn how to Wire a circuit Appararus/ Equipment Required: Digital ICs: 744 :Hex Inverter 748 :Quad 2 input AND 7432 :Quad 2 input OR 74: Quad 2 input NAND 742: Quad 2 inpu 7486: Quad 2 input EXOR Trainer kit Connecting Wires Pin Diagram: Not Gate: IC 744(HEX Inverter) 4 Pin Supply voltage :5V 4

AND Gate: IC 748 4 Pin Quad 2 input AND Gate Supply voltage :5V OR Gate: IC 7432 4 Pin Quad 2 input OR Gate Supply voltage :5V NAND Gate: IC 74 4 Pin Quad 2 input NAND Gate Supply voltage :5V NOR Gate: IC 742 4 Pin Quad 2 input NOR Gate Supply voltage :5V EXOR Gate: IC 7486 4 Pin Quad 2 input EXOR Gate Supply voltage :5V 5

Theory: A Digital Logic Gate is an electronic device that makes logical decisions based on the different combinations of digital signals present on its inputs.logic gates are the building blocks of digital circuits. Combinations of logic gates form circuits designed with specific tasks in mind. They are fundamental to the design of computers. Digital logic using transistors is often referred as Transistor-Transistor Logic or TTLgates. These gates are the AND, OR, NOT, NAND, NOR, EXOR and EXNOR gates AND Gate: A multi-input circuit in which the output is only if all inputs are.the symbolic representation of the AND gate is: The AND gate is an electronic circuit that gives a high output () only if all its inputs are high. A dot (.) is used to show the AND operation i.e. A.B. OR gate : A multi-input circuit in which the output is when any input is. The symbolic representation of the OR gate is shown: The OR gate is an electronic circuit that gives a high output () if one or more of its inputs are high. A plus (+) is used to show the OR operation. NOT gate: The output is when the input is, and the output is when the input is. The symbolic representation of an inverter is : The NOT gate is an electronic circuit that produces an inverted version of the input at its output. It is also known as an inverter. If the input variable is A, the inverted output is known as NOT A. This is also shown as A', or A with a bar over the top, as shown at the outputs. NAND gate: AND followed by INVERT. It is also known as universal gate.the symbolic representation of the NAND gate is: 6

This is a NOT-AND gate which is equal to an AND gate followed by a NOT gate. The outputs of all NAND gates are high if any of the inputs are low. The symbol is an AND gate with a small circle on the output. The small circle represents inversion. NOR gate: OR followed by inverter. It is also known as universal gate.the symbolic representation is: This is a NOT-OR gate which is equal to an OR gate followed by a NOT gate. The outputs of all NOR gates are low if any of the inputs are high. The symbol is an OR gate with a small circle on the output. The small circle represents inversion. EXOR gate: The output of the Exclusive OR gate, is when it s two inputs are the same and it s output is when its two inputs are different.it is also known as Anticoincidence gate. The 'Exclusive-OR' gate is a circuit which will give a high output if either, but not both, of its two inputs are high. An encircled plus sign ( ) is used to show the EOR operation. 7

Procedure:. Collect the components necessary to accomplish this experiment. 2. Plug the IC chip into the breadboard. 3. Connect the supply voltage and ground lines to the chips. PIN7 = Ground and PIN4 = +5V. 4. According to the pin diagram of each IC mentioned above, wire only one gate to verify its truth table. 5. Connect the inputs of the gate to the input switches of the LED. 6. Connect the output of the gate to the output LEDs. 7. Once all connections have been done, turn on the power switch of the breadboard 8.. Operate the switches and fill in the truth table ( Write "" if LED is ON and "" if LED is OFF Apply the various combination of inputs according to the truth table and observe the condition of Output LEDs. 9. Repeat the above steps to 5 for all the ICs. \ RESULT: 8

Ex No:2 Date: DESIGN AND IMPLEMENTATION OF ADDERS AND SUBTRACTORS USING LOGIC GATES Aim: Design and verify the logic circuit of Half adder using logic gates. Design and verify the logic circuit Full adder using of Half adder. Design and verify the logic circuit of Half subtractor using logic gates. Design and verify the logic circuit Full subtractor using of Half subtractor. Objective: a. To understand the principle of binary addition. b. To understand and to differentiate half & full adder concept. c. Use truth table, Karnaugh map, and Boolean Algebra theorems in simplifying a circuit design. d. To implement half adder and full adder circuit uing logic gates Apparatus Required: Trainer kit Digital ICs: 748 :Quad 2 input AND 7486: Quad 2 input EXOR 7432 :Quad 2 input OR Pin Diagram: Half Adder: Connecting Wires Fig 2.: Pin Diagram Of Half Adder 9

Full adder: Fig 2.2:Pin diagram of Full adder Theory: Half Adder: A half adder is a logical circuit that performs an addition operation on two binary digits. The half adder produces a sum and a carry value which are both binary digits. A B S C Fig 2.3:Circuit Diagram Of Half Adder Truth Table Boolean Expression: S= A B C=AB Full Adder:Full adder is a logical circuit that performs an addition operation on three binary digits. The full adder produces a sum and carry value, which are both binary digits. It can be combined with other full adders or work on its own.

Input Output A B Ci S Co Fig 2.4:Circuit Diagram Of Full Adder Truth Table Boolean Expression: S= A B Ci Co=AB+Ci(A B) Procedure:. Collect the components necessary to accomplish this experiment. 2. Plug the IC chip into the breadboard. 3. Connect the supply voltage and ground lines to the chips. PIN7 = Ground and PIN4 = +5V. 4. According to the pin diagram of each IC mentioned above, make the connections according to circuit dsagram. 5. Connect the inputs of the gate to the input switches of the LED. 6. Connect the output of the gate to the output LEDs. 7. Once all connections have been done, turn on the power switch of the breadboard 8.. Operate the switches and fill in the truth table ( Write "" if LED is ON and "" if LED is OFF Apply the various combination of inputs according to the truth table and observe the condition of Output LEDs.

Pin Diagram: Half Subtractor: Pin Diagram of Half Subtractor Full Subtractor: 2

Pin Diagram of Full subtarctor Theory : Half Subtractor: The half-subtractor is a combinational circuit which is used to perform subtraction of two bits. It has two inputs, X (minuend) and Y (subtrahend) and two outputs D (difference) and B (borrow). Fig 3.3: Circuit Diagram of Half Subtractor Full subtractor: A full Subtractor is combinational circuit that performs a subtraction between three bits,taking into account that a may have been borrowed by a lower significant stage.the 3 inputs denote minuend,subtrahend and previous borrow, respectively.the 2 outputs are difference(d) and borrow(b). Fig 3.4: Circuit Diagram of Full Subtractor Procedure: 3

. Collect the components necessary to accomplish this experiment. 2. Plug the IC chip into the breadboard. 3. Connect the supply voltage and ground lines to the chips. PIN7 = Ground and PIN4 = +5V. 4. According to the pin diagram of each IC mentioned above, make the connections according to circuit diagram. 5. Connect the inputs of the gate to the input switches of the LED. 6. Connect the output of the gate to the output LEDs. 7. Once all connections have been done, turn on the power switch of the breadboard 8.. Operate the switches and fill in the truth table ( Write "" if LED is ON and "" if LED is OFF Apply the various combination of inputs according to the truth table and observe the condition of Output LEDs. RESULT: 4

EXx No:3 Date: DESIGN AND IMPLEMENTATION OF ENCODER AND DECODER AIM: To design and implement encoder and decoder using logic gates and study of IC 7445 and IC 7447. APPARATUS REQUIRED: Sl.No. COMPONENT SPECIFICATION QTY.. 3 I/P NAND GATE IC 74 2 2. OR GATE IC 7432 3 3. NOT GATE IC 744 2. IC TRAINER KIT - 3. PATCH CORDS - 27 THEORY: ENCODER: An encoder is a digital circuit that perform inverse operation of a decoder. An encoder has 2 n input lines and n output lines. In encoder the output lines generates the binary code corresponding to the input value. In octal to binary encoder it has eight inputs, one for each octal digit and three output that generate the corresponding binary code. In encoder it is assumed that only one input has a value of one at any given time otherwise the circuit is meaningless. It has an ambiguila that when all inputs are zero the outputs are zero. The zero outputs can also be generated when D =. DECODER: A decoder is a multiple input multiple output logic circuit which converts coded input into coded output where input and output codes are different. The input code generally has fewer bits than the output code. Each input code word produces a different output code word i.e there is 5

one to one mapping can be expressed in truth table. In the block diagram of decoder circuit the encoded information is present as n input producing 2 n possible outputs. 2 n output values are from through out 2 n. PIN DIAGRAM FOR IC 7445: PIN DIAGRAM FOR IC 7447: 6

LOGIC DIAGRAM FOR ENCODER: TRUTH TABLE: INPUT OUTPUT Y Y2 Y3 Y4 Y5 Y6 Y7 A B C 7

LOGIC DIAGRAM FOR DECODER: TRUTH TABLE: INPUT OUTPUT E A B D D D2 D3 8

PROCEDURE: (i) (ii) (iii) Connections are given as per circuit diagram. Logical inputs are given as per circuit diagram. Observe the output and verify the truth table. RESULT: 9

Ex No:4 DATE : DESIGN AND IMPLEMENTATION OF MULTIPLEXER AND DEMULTIPLEXER AIM: To design and implement multiplexer and demultiplexer using logic gates and study of IC 745 and IC 7454. APPARATUS REQUIRED: Sl.No. COMPONENT SPECIFICATION QTY.. 3 I/P AND GATE IC 74 2 2. OR GATE IC 7432 3. NOT GATE IC 744 2. IC TRAINER KIT - 3. PATCH CORDS - 32 THEORY: MULTIPLEXER: Multiplexer means transmitting a large number of information units over a smaller number of channels or lines. A digital multiplexer is a combinational circuit that selects binary information from one of many input lines and directs it to a single output line. The selection of a particular input line is controlled by a set of selection lines. Normally there are 2 n input line and n selection lines whose bit combination determine which input is selected. DEMULTIPLEXER: The function of Demultiplexer is in contrast to multiplexer function. It takes information from one line and distributes it to a given number of output lines. For this reason, the demultiplexer is also known as a data distributor. Decoder can also be used as demultiplexer. 2

In the : 4 demultiplexer circuit, the data input line goes to all of the AND gates. The data select lines enable only one gate at a time and the data on the data input line will pass through the selected gate to the associated data output line. BLOCK DIAGRAM FOR 4: MULTIPLEXER: FUNCTION TABLE: S S INPUTS Y D D S S D D S S D2 D2 S S D3 D3 S S Y = D S S + D S S + D2 S S + D3 S S 2

CIRCUIT DIAGRAM FOR MULTIPLEXER: TRUTH TABLE: S S Y = OUTPUT D D D2 D3 22

BLOCK DIAGRAM FOR :4 DEMULTIPLEXER: FUNCTION TABLE: S S INPUT X D = X S S X D = X S S X D2 = X S S X D3 = X S S Y = X S S + X S S + X S S + X S S 23

LOGIC DIAGRAM FOR DEMULTIPLEXER: 24

TRUTH TABLE: INPUT OUTPUT S S I/P D D D2 D3 25

PIN DIAGRAM FOR IC 745: PIN DIAGRAM FOR IC 7454: 26

PROCEDURE: (i) (ii) (iii) Connections are given as per circuit diagram. Logical inputs are given as per circuit diagram. Observe the output and verify the truth table. RESULT: 27

Ex No:5 DATE : AIM: DESIGN AND IMPLEMENTATION OF MAGNITUDE COMPARATOR To design and implement 2 bit magnitude comparator using basic gates. APPARATUS REQUIRED: S l.no. COMPONENT SPECIFICATION QTY.. AND GATE IC 748 2 2. X-OR GATE IC 7486 3. OR GATE IC 7432 4. NOT GATE IC 744 5. 4-BIT MAGNITUDE COMPARATOR IC 7485 2 6. IC TRAINER KIT - 7. PATCH CORDS - 3 THEORY: The comparison of two numbers is an operator that determine one number is greater than, less than (or) equal to the other number. A magnitude comparator is a combinational circuit that compares two numbers A and B and determine their relative magnitude. The outcome of the comparator is specified by three binary variables that indicate whether A>B, A=B (or) A<B. A = A 3 A 2 A A B = B 3 B 2 B B 28

The equality of the two numbers and B is displayed in a combinational circuit designated by the symbol (A=B). This indicates A greater than B, then inspect the relative magnitude of pairs of significant digits starting from most significant position. A is and that of B is. We have A<B, the sequential comparison can be expanded as A>B = A3B 3 + X 3 A 2 B 2 + X 3 X 2 A B + X 3 X 2 X A B A<B = A 3 B 3 + X 3 A 2 B 2 + X 3 X2A B + X 3 X 2 X A B The same circuit can be used to compare the relative magnitude of two BCD digits. Where, A = B is expanded as, A = B = (A 3 + B 3 ) (A 2 + B 2 ) (A + B ) (A + B ) x 3 x 2 x x LOGIC DIAGRAM: 2 BIT MAGNITUDE COMPARATOR 29

TRUTH TABLE A A B B A > B A = B A < B 3

PIN DIAGRAM FOR IC 7485: PROCEDURE: (i) (ii) Connections are given as per circuit diagram. Logical inputs are given as per circuit diagram. 3

(iii) Observe the output and verify the truth table. RESULT: 32

Ex No:6 DATE : 6 BIT ODD/EVEN PARITY CHECKER /GENERATOR AIM: To design and implement 6 bit odd/even parity checker generator using IC 748. APPARATUS REQUIRED: Sl.No. COMPONENT SPECIFICATION QTY.. NOT GATE IC 744 IC 748 2 2. IC TRAINER KIT - 3. PATCH CORDS - 3 THEORY: A parity bit is used for detecting errors during transmission of binary information. A parity bit is an extra bit included with a binary message to make the number is either even or odd. The message including the parity bit is transmitted and then checked at the receiver ends for errors. An error is detected if the checked parity bit doesn t correspond to the one transmitted. The circuit that generates the parity bit in the transmitter is called a parity generator and the circuit that checks the parity in the receiver is called a parity checker. In even parity, the added parity bit will make the total number is even amount. In odd parity, the added parity bit will make the total number is odd amount. The parity checker circuit checks for possible errors in the transmission. If the information is passed in even parity, then the bits required must have an even number of s. An error occur during transmission, if the received bits have an odd number of s indicating that one bit has changed in value during transmission. 33

PIN DIAGRAM FOR IC 748: FUNCTION TABLE: INPUTS Number of High Data OUTPUTS PE PO E O Inputs (I I7) EVEN ODD EVEN ODD X X 34

LOGIC DIAGRAM: 6 BIT ODD/EVEN PARITY CHECKER TRUTH TABLE: I7 I6 I5 I4 I3 I2 I I I7 I6 I5 I4 I3 I2 I Active E O LOGIC DIAGRAM: 6 BIT ODD/EVEN PARITY GENERATOR 35

TRUTH TABLE: I7 I6 I5 I4 I3 I2 I I I7 I6 I5 I4 I3 I2 I I Active E O PROCEDURE: (i) (ii) (iii) Connections are given as per circuit diagram. Logical inputs are given as per circuit diagram. Observe the output and verify the truth table. RESULT: 36

Ex No:7 Date: DESIGN AND IMPLEMENTATION OF FLIPFLOPS USING LOGIC GATES Aim:- Truth table verification of Flip-Flops: (i) RS-Type (ii) D- Type (iii) T- Type. (iv)jk-type Apparatus Required: - Procedure: - Trainer kit IC 74,IC 744 PATCH CORDS. Connections are made as per circuit diagram. 2. Verify the truth table for various combinations of inputs. Circuit Diagram& Truth table: i)rs Flip-Flop 37

Qn /Qn R S Qn+ ii) D Flip-Flop 38 /Qn+

iii) T Flip-flop 39

RESULT: 4

Ex No. :8 DATE : AIM: DESIGN AND IMPLEMENTATION OF CODE CONVERTOR To design and implement 4-bit (i) Binary to gray code converter (ii) Gray to binary code converter (iii) BCD to excess-3 code converter (iv) Excess-3 to BCD code converter APPARATUS REQUIRED: Sl.No. COMPONENT SPECIFICATION QTY.. X-OR GATE IC 7486 2. AND GATE IC 748 3. OR GATE IC 7432 4. NOT GATE IC 744 5. IC TRAINER KIT - 6. PATCH CORDS - 35 THEORY: The availability of large variety of codes for the same discrete elements of information results in the use of different codes by different systems. A conversion circuit must be inserted between the two systems if each uses different codes for same information. Thus, code converter is a circuit that makes the two systems compatible even though each uses different binary code. The bit combination assigned to binary code to gray code. Since each code uses four bits to represent a decimal digit. There are four inputs and four outputs. Gray code is a non-weighted code. 4

The input variable are designated as B3, B2, B, B and the output variables are designated as C3, C2, C, Co. from the truth table, combinational circuit is designed. The Boolean functions are obtained from K-Map for each output variable. A code converter is a circuit that makes the two systems compatible even though each uses a different binary code. To convert from binary code to Excess-3 code, the input lines must supply the bit combination of elements as specified by code and the output lines generate the corresponding bit combination of code. Each one of the four maps represents one of the four outputs of the circuit as a function of the four input variables. A two-level logic diagram may be obtained directly from the Boolean expressions derived by the maps. These are various other possibilities for a logic diagram that implements this circuit. Now the OR gate whose output is C+D has been used to implement partially each of three outputs. LOGIC DIAGRAM: BINARY TO GRAY CODE CONVERTOR 42

K-Map for G 3 : G 3 = B 3 K-Map for G 2 : K-Map for G : 43

K-Map for G : 44

45 TRUTH TABLE: Binary input Gray code output B3 B2 B B G3 G2 G G

LOGIC DIAGRAM: GRAY CODE TO BINARY CONVERTOR K-Map for B 3 : B3 = G3 46

K-Map for B 2 : K-Map for B : 47

48 K-Map for B : TRUTH TABLE: Gray Code Binary Code G3 G2 G G B3 B2 B B

49 LOGIC DIAGRAM: BCD TO EXCESS-3 CONVERTOR

K-Map for E 3 : E3 = B3 + B2 (B + B) K-Map for E 2 : 5

K-Map for E : K-Map for E : 5

52 TRUTH TABLE: BCD input Excess 3 output B3 B2 B B G3 G2 G G x x x x x x x x x x x x x x x x x x x x x x x x

LOGIC DIAGRAM: EXCESS-3 TO BCD CONVERTOR K-Map for A: A = X X2 + X3 X4 X 53

K-Map for B: K-Map for C: 54

55 K-Map for D: TRUTH TABLE: Excess 3 Input BCD Output B3 B2 B B G3 G2 G G

56 PROCEDURE: (i) Connections were given as per circuit diagram. (ii) Logical inputs were given as per truth table (iii) Observe the logical output and verify with the truth tables. RESULT:

Ex No:9 Date : CONSTRUCTION AND VERIFICATION OF 4 BIT RIPPLE COUNTER AND MOD /MOD 2 RIPPLE COUNTER AIM: To design and verify 4 bit ripple counter mod / mod 2 ripple counter. APPARATUS REQUIRED: THEORY: Sl.No. COMPONENT SPECIFICATION QTY.. JK FLIP FLOP IC 7476 2 2. NAND GATE IC 74 3. IC TRAINER KIT - 4. PATCH CORDS - 3 A counter is a register capable of counting number of clock pulse arriving at its clock input. Counter represents the number of clock pulses arrived. A specified sequence of states appears as counter output. This is the main difference between a register and a counter. There are two types of counter, synchronous and asynchronous. In synchronous common clock is given to all flip flop and in asynchronous first flip flop is clocked by external pulse and then each successive flip flop is clocked by Q or Q output of previous stage. A soon the clock of second stage is triggered by output of first stage. Because of inherent propagation delay time all flip flops are not activated at same time which results in asynchronous operation. 57

PIN DIAGRAM FOR IC 7476: LOGIC DIAGRAM FOR 4 BIT RIPPLE COUNTER: 58

TRUTH TABLE: CLK QA QB QC QD 2 3 4 5 6 7 8 9 2 3 4 5 59

LOGIC DIAGRAM FOR MOD - RIPPLE COUNTER: TRUTH TABLE: CLK QA QB QC QD 2 3 4 5 6 7 8 9 6

LOGIC DIAGRAM FOR MOD - 2 RIPPLE COUNTER: TRUTH TABLE: CLK QA QB QC QD 2 3 4 5 6 7 8 9 6

2 PROCEDURE: (i) (ii) (iii) Connections are given as per circuit diagram. Logical inputs are given as per circuit diagram. Observe the output and verify the truth table. RESULT: 62

Ex No :9(b) DATE : DESIGN AND IMPLEMENTATION OF 3 BIT SYNCHRONOUS UP/DOWN COUNTER AIM: To design and implement 3 bit synchronous up/down counter. APPARATUS REQUIRED: Sl.No. COMPONENT SPECIFICATION QTY.. JK FLIP FLOP IC 7476 2 2. 3 I/P AND GATE IC 74 3. OR GATE IC 7432 4. XOR GATE IC 7486 5. NOT GATE IC 744 6. IC TRAINER KIT - 7. PATCH CORDS - 35 THEORY: A counter is a register capable of counting number of clock pulse arriving at its clock input. Counter represents the number of clock pulses arrived. An up/down counter is one that is capable of progressing in increasing order or decreasing order through a certain sequence. An up/down counter is also called bidirectional counter. Usually up/down operation of the counter is controlled by up/down signal. When this signal is high counter goes through up sequence and when up/down signal is low counter follows reverse sequence. 63

K MAP STATE DIAGRAM: 64

CHARACTERISTICS TABLE: Q Q t+ J K X X X X LOGIC DIAGRAM: 65

TRUTH TABLE: Input Present State Next State A B C Up/Down Q A Q B Q C Q A+ Q B+ Q C+ J A K A J B K B J C K C X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X 66

PROCEDURE: (i) (ii) (iii) Connections are given as per circuit diagram. Logical inputs are given as per circuit diagram. Observe the output and verify the truth table. RESULT: 67

Ex No: Date : DESIGN AND IMPLEMENTATION OF SHIFT REGISTER AIM: To design and implement (i) Serial in serial out (ii) Serial in parallel out (iii) Parallel in serial out (iv) Parallel in parallel out APPARATUS REQUIRED: S l.no. COMPONENT SPECIFICATION QTY.. D FLIP FLOP IC 7474 2 2. OR GATE IC 7432 3. IC TRAINER KIT - 4. PATCH CORDS - 35 THEORY: A register is capable of shifting its binary information in one or both directions is known as shift register. The logical configuration of shift register consist of a D-Flip flop cascaded with output of one flip flop connected to input of next flip flop. All flip flops receive common clock pulses which causes the shift in the output of the flip flop. The simplest possible shift register is one that uses only flip flop. The output of a given flip flop is connected to the input of next flip flop of the register. Each clock pulse shifts the content of register one bit position to right. 68

PIN DIAGRAM: LOGIC DIAGRAM: SERIAL IN SERIAL OUT: 69

TRUTH TABLE: CLK Serial in Serial out 2 3 4 5 X 6 X 7 X LOGIC DIAGRAM: SERIAL IN PARALLEL OUT: 7

TRUTH TABLE: CLK DATA OUTPUT Q A Q B Q C Q D 2 3 4 LOGIC DIAGRAM: PARALLEL IN SERIAL OUT: 7

TRUTH TABLE: CLK Q3 Q2 Q Q O/P 2 3 LOGIC DIAGRAM: PARALLEL IN PARALLEL OUT: TRUTH TABLE: DATA INPUT OUTPUT CLK D A D B D C D D Q A Q B Q C Q D 2 72

PROCEDURE: (i) (ii) (iii) Connections are given as per circuit diagram. Logical inputs are given as per circuit diagram. Observe the output and verify the truth table. RESULT: 73