DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

Similar documents
CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

/$ IEEE

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Low Power Phase Locked Loop Design with Minimum Jitter

Designing of Charge Pump for Fast-Locking and Low-Power PLL

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction

Low Power, Wide Bandwidth Phase Locked Loop Design

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

Introduction to CMOS RF Integrated Circuits Design

Design of CMOS Phase Locked Loop

AN increasing number of video and communication applications

This chapter discusses the design issues related to the CDR architectures. The

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Design of a Frequency Synthesizer for WiMAX Applications

Research on Self-biased PLL Technique for High Speed SERDES Chips

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

An Analog Phase-Locked Loop

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

DESIGN OF A MODULAR FEEDFORWARD PHASE/FREQUENCY DETECTOR FOR HIGH SPEED PLL

A Performance Comparision of OTA Based VCO and Telescopic OTA Based VCO for PLL in 0.18um CMOS Process

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

Optimization of Digitally Controlled Oscillator with Low Power

Module -18 Flip flops

Lecture 7: Components of Phase Locked Loop (PLL)

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

A Low-Jitter MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements

Lecture 11: Clocking

ECEN620: Network Theory Broadband Circuit Design Fall 2012

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

NEW WIRELESS applications are emerging where

Dedication. To Mum and Dad

FRACTIONAL-N FREQUENCY SYNTHESIZER DESIGN FOR RFAPPLICATIONS

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

Design of Analog CMOS Integrated Circuits

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

SERIALIZED data transmission systems are usually

Tuesday, March 29th, 9:15 11:30

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

An All-Digital Approach to Supply Noise Cancellation in Digital Phase-Locked Loop

Integrated Circuit Design for High-Speed Frequency Synthesis

ISSN:

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

LINEAR IC APPLICATIONS

PHASE-LOCKED loops (PLLs) are widely used in many

Noise Analysis of Phase Locked Loops

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A Low Power Single Phase Clock Distribution Multiband Network

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

DLL Based Frequency Multiplier

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A Low-Power SRAM Design Using Quiet-Bitline Architecture

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

[Prajapati, 3(3): March, 2014] ISSN: Impact Factor: 1.852

Low-Jitter, 8kHz Reference Clock Synthesizer Outputs MHz

A 3-10GHz Ultra-Wideband Pulser

ISSN: International Journal of Engineering and Innovative Technology (IJEIT) Volume 1, Issue 2, February 2012

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Advanced Operational Amplifiers

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

THE serial advanced technology attachment (SATA) is becoming

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

LM13600 Dual Operational Transconductance Amplifiers with Linearizing Diodes and Buffers

Transcription:

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology, Durgapur-9 West Bengal, INDIA, 713209 mail.amanchoudhary@gmail.com ABSTRACT Clock multiplication is not possible with delay locked loops. However, ever increasing requirements for clock multiplication in analog integrated circuits has lead to the research in several avenues including ring oscillator and LC oscillator. Ring oscillators are typically used in clock multipliers of digital chips due to their smaller area, easier design, and larger frequency range compared to LC oscillators. However, ring oscillators typically exhibit much larger phase noise than their LC counterparts (for same power consumptions). This tradeoff provides an additional motivation to explore for various techniques to reduce phase noise in ring oscillators and Multiplying Delay Locked Loops (MDLL) offered an attractive alternative. In this paper, a novel method is proposed for the design of Multiplying Delay Locked Loop for different multiplying factors. Keywords : MDLL; Phase Detector; Charge Pump; Delay Line; Select Logic; Voltage Buffer I. INTRODUCTION The MDLL operates by replacing every N th edge of a naturally running oscillator voltage controlled oscillator (VCO) with a reference frequency edge [2], where N corresponds to the frequency multiplication factor. This allows significant suppression of jitter caused by phase noise of the VCO. Specifically, the edge multiplexing action of the MDLL rejects the VCO phase noise in a fashion similar to a type-1 order phase locked loop (PLL) with a band width of about one fourth of the reference frequency [3]. With the VCO phase noise suppressed at such a high bandwidth, the bandwidth of the tuning loop can now be lowered to better suppress the noise originating from the detector referred sources. Fig.1 shows the classical feedback approach for adjustment of V tune. The key idea of this approach [4] is to use a phase detector to measure the difference in time between two appropriate edges in the system, and then use a charge pump and loop filter to integrate the resulting error signal to form V tune. Ideally, V tune will then be adjusted by the feedback loop until the difference in time becomes zero. proposed design. Section 4 shows the experimental results and finally section 5 concludes the paper. II. BASIC THEORY The MDLL consists of a three-stage differential inverter delay locked loop (DLL) whose delay is controlled by adjusting its power supply voltage using a voltage regulator [5]. The MDLL output is fed back to its input through a multiplexer [6]. Fig. 1. MDLL Block Diagram with Output Phase Tuning The differential 2:1 multiplexer selects between the clean reference clock r clk, when the sel is asserted by select logic, and the DLL output b clk, when sel signal is de-asserted. A phase detector is used to align the edge of r clk to the corresponding edge of b clk when multiplexing 4

happens. A divide by M counter provides a multiplication ratio m for the MDLL. When DLL loop is closed through the multiplexer, a ring oscillator is formed that oscillates with a period of twice to that of the delay around the DLL loop composed of the inverters and the multiplexers generating the high speed bit clock, b clk. The last signal triggers the select logic that switches the multiplexer input to pass a clean reference clock edge, r clk. This function resets the ring oscillator phase to phase of the clean r clk edge removing any accumulated jitter over the past cycles. Therefore, the maximum number of cycles that ring oscillator accumulates jitter is limited. In contrast, a PLL typically accumulates jitter over at least 10 cycles until its loop filter can act to correct the error. Any mismatch between the swing levels, transition times, and timing alignment of the r clk edge to the corresponding b clk edge of the DLL stages results in final multiplied clock. Therefore, the design of the clock buffer and phase detector circuits is very critical for the MDLL performance. Fig. 2 shows the detailed timing diagram of the MDLL for two cases when the closed oscillation frequency is more than (during startup) and equal to (after settling) times that of the reference clock. Fig. 2. Timing Diagram of the MDLL At circuit startup, the DLL control voltage is pulled high, setting the line delay to its minimum and the ring frequency to its maximum. As a result, the b clk completes its M cycles considerably before the following rising edge of r clk. At the M th rising transition of b clk, the divide by M counter asserts the last signal at the following falling transition of b clk, switching the multiplexer to its r clk input. During this period the DLL stops oscillation and the multiplexer continues to select r clk. The phase detector, which is also enabled by the sel pulse high, compares the corresponding rising edge of the b clk with that of r clk and applies a correction pulse, proportion to the phase difference between the two clock edges to a charge pump circuit that moves the loop control voltage and thus the DLL delay toward smaller phase error. The MDLL open loop has one pole at the output of the charge pump ( V cntrl ), and one pole at the regulated voltage node ( V delay ) due to the large bypass capacitor C b. Considering that the voltage buffer is a unity gain buffer, the open loop transfer function is H o K p. K. M sc d c. sc b. R Where K p is the charge pump gain, K d is the DLL gain, M is the multiplication factor, and R o is the effective ac resistance at the regulated supply node. As can be seen from the above equation (1), unlike PLL loop, the MDLL has only one pole at the origin and therefore does not require an extra zero to stabilize the feedback loop. In order to ensure that the closed loop has acceptable phase margin, the unity gain crossover frequency of the open loop transfer function H o (s) must be well below the second pole caused by the regulated supply capacitor. The worst case condition for stability arises when the loop gain is at its highest, i.e., highest multiplication factor, highest DLL and charge pump gain. o 1 (1) The MDLL core includes the following blocks: the multiplexed ring oscillator, divider, select logic, and reference divider apart from the feedback loop consisting the phase detector, charge pump and loop filter. A. Delay Line The delay elements are simple CMOS inverters whose delay is varied through supply regulation. Three delay elements connected in feedback form the ring oscillator. As control voltage approaches to the transistor threshold voltage, the gain and the supply voltage of the delay line increases significantly. To alleviate this problem, a secondary shunting inverter controlled by the programmable signal t rimf is placed around the main stage inverter stage to prevent the control voltage from becoming excessively low at fast corner and low frequencies. The delay element is slower with t rimf low than high. Cross coupled inverters are inserted at each delay stage to suppress skew complementary complex signals. Fig. 3 shows the schematics for the proposed delay line. B. Select Logic The timing of the select logic is critical at very high frequencies and can affect the MDLL jitter performance. If the select logic does not completely switch the mux input before the appropriate clock edge passes through the mux, the clock experiences a distortion that results in extra delay and therefore a phase error in that cycle. To avoid this condition, the sel signal should have fast transitions and be asserted immediately after the falling edge of the last b clk and de-asserted immediately after the rising transition of r clk. Therefore, the dynamic CMOS gate shown in Fig. 3 is used to increase the speed and slew rate of select logic. 5

Fig. 3. Select Logic sel is de-asserted when both r clk and b clk go high. This is done to guarantee a minimum sel pulse width and the r clk rising edge advances the b clk rising edge following the b clk falling edge that asserted the sel pulse. Fig. 4 shows the acceptable and unacceptable cases of the select pulse, where the unacceptable select pulse is late with a large rising time, therefore distorting the following edge of b clk. acts like a ring oscillator, number of delay cells should be odd). A. Delay Line The delay elements are controlled by the control voltage generated by the charge pump block. This control voltage determines the current through the current-mirror arrangement that derives the delayelement control currents from a single control current. The output branches of the current mirrors act as the delay-element starvation devices. Device sizes are chosen to permit a small voltage drop across the starvation devices, while keeping the intermediate node capacitance reasonably small. The circuit corresponding to the current mirror arrangement and the first delay cell is shown in Fig. 5. B. Multiplexer It has been designed using transmission gates and inverters. The multiplexer is controlled by the sel asserted by the select logic. Whenever, sel goes high the multiplexer chooses the reference clock edge instead of the edge of the free running oscillator while when the sel goes low the multiplexer chooses the edge of free running oscillator. The multiplexer is used in the front of the delay line. Fig. 4. Timing Diagram of the Select Logic C. Divider The core of the divider is formed by a D flip- flop using transmission gates and inverters in this design. The divider generates the last signal which is used by the select logic to generate the sel enable signal. The last signal is asserted by the divider only when the free ring oscillator finishes passing M clock pulses where M is the multiplying factor of the MDLL. In this design, factors 2 and 4 have been used. For the divide by 2 (MDLL with M =2), the frequency divided clk/2 can itself be used as the last signal at it gets asserted when two clock cycles have passed. For the divide by 4 (MDLL with M=4), the output is required to be NANDed with the input clock to generate the correct last signal asserting that four cycles have passed through. III. DESIGN OF MDLL Most of the blocks of the MDLL and DLL are common including the delay line, phase comparator, charge pump, supply voltage regulator, level buffers. The only differences are the addition of the divider, select logic, multiplexer at the beginning of the VCDL and a reduction in the number of delay cells (since it Fig. 5. A Delay Cell C. Select Logic Sel is asserted when the free running oscillator has finished counting M cycles and the reference clock edge has not arrived yet. After the reference edge has arrived the sel output is de-asserted. Here the last signal is asserted by the divider. V on is the ring oscillator clock and V rn is the reference clock. Fig. 6 shows the schematic of the select logic. It is the most important part of the complete circuit and total power consumption is derived mainly by the select logic and the selectivity of various parameters is the most important factor here to decide the net performance of the circuit. 6

Fig. 9. D Flip- Flop Schematic Fig. 6. Select Logic D. Devider Fig. 7 shows the divide by 2 circuit block. Last signal is asserted by the DFF output. IV. LAYOUT OF MDLL The phase comparator is the most important part of the MDLL. Fig. 10 shows the layout of the phase comparator. As the complete MDLL circuit is found to be working satisfactorily under all the process and temperature variations, we proceeded to its actual physical implementation. Fig. 11 shows the layout for the Multiple Delay Locked Loop (MDLL). After performing the Design Rule Check (DRC) and Layout Vs Schematic Check (LSC) on each of the blocks and the entire MDLL, we proceed to the RC extraction and thereby create a detailed net list for the entire circuit. Then evaluated the post-layout performance of this MDLL to verify that it satisfies the required performance criteria. The external control voltage is set at 1.2V for first 25ns and then the normal MDLL operation is enabled. Fig. 7. Divide by 2 Circuit Fig. 8 shows the divide by 4 schematic. To assert the last signal, the output of the two D flip-flops has counted four clock cycles (i.e., reached binary 11 states). Fig. 10. Layout of the phase comparator Fig. 8. Divide by 4 Circuit 7

(d) Fig. 11. Layout of Complete MDLL V. MDLL RESULTS The proposed MDLL was designed for multiplication factors of 2 and 4 using the above mentioned dividers as shown below in Fig. 9. All the clock generators were tuned to give an output of 400MHz clock. However the MDLL designed could give output clocks till 700MHz. First part of the Fig. 12 represents the input, second one represents the multiplication by 4, and third one is multiplication by 2, while the last one represents the comparison with the reference input. (a) (b) (c) Fig. 12. (a) Input (b ) Output (M=4) (c) Output (M =2) (d) Comparison with Reference Clock i.e. Responses of MDLL. VI. CONCLUSIONS A novel method is proposed for the design of DLL and MDLL to generate precise time intervals on chip for applications such as BIST. Since the clock generator is being used along with an ADC, it was required to make it compact and with the low power consumption. A circuit structure which performs the functionality of both the phase detector and charge pump saving area has been used. The phase comparator s transistors were sized in such a way that locking occurs within 2-3 cycles while contemporary design takes much longer time. The sizes of the individual transistors of the delay line inverters are dependent upon the operating reference clock frequency. Since all the delay stages together need to make one clock cycle, the transistors had to be sized in such a way so that the delay stages are capable of causing the required delay. Use of a level converter and an additional buffer at the output of every delay stage ensures high output driving capability and minimum jitter. REFERENCES [1] Behzad Razavi. Design of Analog CMOS Integrated Circuits, McGraw-Hill, 2002. [2] C.C. Wang, J.M. Huang, J.F. Huang, 1-Gbps LVDS Transceiver Design for LCD Panels, IEEE Asia-Pacific Conference on Advanced System Integrated Circuits, pp. 4-5, 2004. [3] Daniel Eckerbert, Lars J. Svensson, and Per Larsson- Edefors, A Mixed-Mode Delay-Locked-Loop Architecture, Proceedings of the IEEE International Conference on Computer Design 2003 (ICCD 03), pp. 261. [4] Kuo-Hsing Cheng, Yu-Lung Lo, Wen-Fang Yu and Shu- Yin Hung, A Mixed Mode Delay Locked Loop for Wide-Range Operation and Multiphase Clock Generation, Proceedings of the 3 rd IEEE International Workshop on System-on- Chip for Real-Time Applications, 2003, pp.90-93. [5] Ronald E. Best. Phase-Locked Loops: Design, Simulation and Applications, McGraw-Hill, fifth edition, 2003. [6] Maxim, Adrian. Design Challenges In Multi-GHz PLL Frequency Synthesizers, http://www.cerc.utexas.edu/msrf [7] Van Roon, Tony, Phase Locked Loops. 2001. http://www.uoguelph.ca/~antoon/gadgets/pll/pll.html. 8