Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Similar documents
SUBTHRESHOLD logic circuits are becoming increasingly

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

A Low-Power SRAM Design Using Quiet-Bitline Architecture

SCALING power supply has become popular in lowpower

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Power-Area trade-off for Different CMOS Design Technologies

Kurukshetra University, Kurukshetra, India

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Design and Implementation of High Speed Sense Amplifier for Sram

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

FOR contemporary memories, array structures and periphery

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Electronic Circuits EE359A

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

Design of Low Power Double Tail Comparator by Adding Switching Transistors

A Minimum Leakage Quasi-Static RAM Bitcell

SUB-THRESHOLD and near-threshold operation have become

Analysis & Design of low Power Dynamic Latched Double-Tail Comparator

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

Design of Dynamic Latched Comparator with Reduced Kickback Noise

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design and Analysis of Low Power Comparator Using Switching Transistors

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

A Robust Low Power Static Random Access Memory Cell Design

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Low-power Full Adder array-based Multiplier with Domino Logic

Low-power Full Adder array-based Multiplier with Domino Logic

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

EEC 118 Lecture #12: Dynamic Logic

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Combinational Logic Gates in CMOS

BIOLOGICAL and environmental real-time monitoring

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Lecture 11: Clocking

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Variation Aware Performance Analysis of Gain Cell Embedded DRAMs

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1

Figure 1 Typical block diagram of a high speed voltage comparator.

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector

Analysis and design of a low voltage low power lector inverter based double tail comparator

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

Performance of Low Power SRAM Cells On SNM and Power Dissipation

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

DESIGN OF DOUBLE TAIL COMPARATOR FOR LOW POWER APPLICATION

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Adiabatic Logic Circuits for Low Power, High Speed Applications

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

Design Of A Comparator For Pipelined A/D Converter

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Novel Latch design for Low Power Applications

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

EE434 ASIC & Digital Systems

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

Leakage Current Analysis

Comparison of adiabatic and Conventional CMOS

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

CMOS VLSI Design (A3425)

Low-Power Comparator Using CMOS Inverter Based Differential Amplifier

STATIC cmos circuits are used for the vast majority of logic

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

Transcription:

Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Shunrui Li, Jianjun Chen, Zuocheng Xing, Jinjin Shao, Xi Peng Collage of Computer Science of National University of Defense Technology, Changsha, China Received September 2015 Abstract With the rapid development of integrated circuits [1], low power consumption has become a constant pursuiting goal of the designer in chip design. As the memory almost takes up the area of the chip, reducing memory power consumption will significantly reduce the overall power consumption of the chip; according to ISSCC s 2014 report about technology trends discussions, there two points of the super-low power SRAM design: 1) design a more effective static and dynamic power control circuit for each key module of SRAM; 2) ensure that in the case of the very low VDD min, SRAM can operating reliably and stably. This paper makes full use reliable of 8T cell, and the single-port sense amplifier has solved problems in the traditional 8T cell structure, making the new structure of the memory at a greater depth still maintain good performance and lower power consumption. Compared with the designed SRAM the SRAM generated by commercial compiler, as the performance loss at SS corner does not exceed 10%, the whole power consumption could be reduced by 54.2%, which can achieve a very good effect of low-power design. Keywords Single Port Sense Amplifier, SRAM Design, Low Power Design, 8T SRAM 1. Introduction For dynamic power consumption, the most effective way to reduce power is the use of very low power supply voltage, for the relationship between the power consumption and the supply voltage is: the power consumption is proportional to the square of the supply voltage; for static power consumption, the low-voltage design techniques also is the most direct and effective way, because leakage power will be low enough when SRAM power supply voltage is low enough. In this thesis, based on a 65 nm process single-port sense amplifier, designed an 8T_SRAM circuit, and compared this SRAM with the generated SRAM by memory compiler in performance, on the premise of speed quite, the former has much more advantage in power and stability. How to cite this paper: Li, S.R., Chen, J.J., Xing, Z.C., Shao, J.J. and Peng, X. (2015) Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm. Journal of Computer and Communications, 3, 164-168. http://dx.doi.org/10.4236/jcc.2015.311026

2. Entire Circuit Structure Full custom SRAM 512 32 memory structure is composed of 8T_SRAM. The circuit structure is shown in Figure 1. The whole circuit is divided to five parts, such as clock, latch, decoder, storage arrays and IO. The use of single port IO sense amplifier circuit in this paper, making this new SRAM acquire lower power consumption, higher performance and higher reliability compared with the conventional circuit configuration. The key of SRAM circuit design is the reading circuit, because the performance of the read circuit determines the reading speed of the SRAM, and the read circuit is the critical path in the SRAM, so reducing the delay on the critical path can effectively improve the timing performance of memory. 2.1. Bit Cell Due to the characteristics of 6-T SRAM [2] memory s structure, the data output are all using double-port sense amplifier to detect bit line voltage difference, and amplified voltage difference to output. But with the process size reduction and lower power supply voltage, the stability of the 6-T cell is getting worse, more and more weak of noise immunity, noise margin getting smaller and smaller, so it is difficult for sense amplifier circuit to control the time of turning on and off, and the worst is there often rise a noise voltage turning up on the bit line, and amplified by sense amplifier to output which results in erroneous operation. The design of the SRAM structure proposed in this paper is using 8-T cell, because of its better stability than6-t, 8T is a big trend of future development. Although compared with 6-T cell, 8-T cell has another two transfer transistors, the transistor size is not limited to read without breaking the rules and can follow the minimum rules to design, and 8T cell area below 65 nm process can be done fairly compared with 6T cell area, so the 8T_cell area does not increase. 8T_cell structure is as follows Figure 2. 2.2. Sense Amplifier IO Block Traditional 8T memory cell read operation use single-port sense amplifier, and the conventional domino logic single-port sense amplifier circuit just uses this sense amplifier. Domino circuit structure is shown as Figure 3. Domino sense amplifier logic circuit is the most typical one of those single-port sense amplifier circuits, and is also the most common single- port sense amplifier logic. Though this single-port sense amplifier logic in the small SRAM has a good performance, with the increase of cell number on the bit line RBL, the performance and power consumption will be a sharp deterioration. That is because as the mount too many cells, the RBL load Figure 1. SRAM circuit configuration. WWL WWL BL BLB WBL WBLB RWL RBL Figure 2. 6-T cell and 8-T cell circuit. 165

X RBL1 Q RBL2 X Figure 3. Domino logic circuit diagram. capacitance is too big, the pre-charge time is longer, and the discharge time is also longer. Additionally, the single-port sense amplifier logic bit line voltage is full swing between 0-VDD, each discharge will have a great dynamic power. Therefore, power consumption also seriously deteriorated. Based on those drawbacks of the single-port sense amplifier, TBP (Trip point Bit-line) solves this kind of problems better, make the sense amplifier remains high performance and can keep very low power consumption in condition of the high capacity and a long bit line load. Figure 4 is TBP-sensitive amplifier circuit [3] structure. Firstly introduce about the structure of the sensitive amplifier circuit. This sensitive amplifier circuit is a single port sense amplifier, which in the sense amplifier module is made up of a static complementary inverter INV, a balance PMOS transfer P3, a transmission gate T, the NMOS transistor N3 and a precharge transistor N1. Among those components, the inverter, in which the pull-down network of it is controlled by the enable signal REN. This can effectively reduce the static leakage current of the sensitive amplifier. So the read enable signal of the sensitive amplifier is effective. At the rest of the time, REN = 0 the sense amplifier doesn t work, N3 and N2 can form the DIBL effect which can reduce the static leakage current. The TBP sensing scheme is to directly precharge RBL to the trip point of the inverter INV. During the precharge phase, the turns on the precharge NMOS transistor N1and, for charging RBL and Z respectively. At the same time, transmission gate T is turned on, and the INV input, LBL, is connected to the output Y. This precharges LBL near the trip-point of the sense amplifier by the precharge NMOS transistor N1 and the diode-connected PMOS transistor P1, and thus, the offset of the sense amplifier is compensated. The working process of the sensitive amplifier is also divided into two stages, the pre-charge stage ( = 1) and the evaluation phase ( = 0). When reading 0, supposed that the value 0 will appeared on the RBL1, then the bit line RBL1 will discharging, due to the bit line voltage value is at the trip-point of the inverter, so the voltage gain is very big at this point. When the bit line voltage drops, then P3 MOSFET opens, the output voltage of Y point is quickly pulled high, Z point is pulled high, then output GRBL = 0, and P2 is turned on at the same time, making the voltage of Z pulled up faster to the steady high level state. P2 formed a positive feedback circuit [4], which can make the sense amplifier speed up. When reading 1, it will be auto-zero [5], the voltage of bit line will not drop, the voltage of the X point will not up or down, so the voltage of the Y point will not drop, P3 will not open, the voltage of Z point will remain low, outputs GRBL = 1, the feedback circuit is closed. This is calling regenerative sensing logical [6]. The operational waveforms of the TBP sensing scheme are shown in Figure 5. 3. Results Contrast The data on the Table 1 and Table 2 shows the sensitive single-port amplifier circuit performance and memory compiler SRAM and tradition in the case of a considerable delay, power consumption was greatly reduced, 166

N1 X P1 N2 Y P3 Z P4 N4 GRBL RBL REN X T N3 INV P2 Figure 4. TBP-sensitive amplifier circuit structure. Figure 5. The waveform of sensitive amplifier read 0 and read 1. 167

Table 1. Dynamic power. Power consumption Compiler SRAM (W) TBP-Sense-amplifier SRAM (W) Percentage SS corner 8.1e 3 3.85e 3 52.4% TT corner 1.3e 2 7.03e 3 45.9% FF corner 1.55e 2 1.16e 2 25.2% Table 2. CLK-Q_delay. CLK-Q Compiler SRAM (ns) TBP-Sense-amplifier SRAM (ns) Percentage SS corner 0.694 0.768 +9.01% TT corner 0.477 0.505 +5.82% FF corner 0.291 0.316 +8.6% which reduces power consumption at FF corner (25.2%), the TT corner under power reduction (45.9%), at SS corner reduce power consumption (52.4%). Because sensitive single-port amplifier circuit is reduced by 50% of the bit line voltage swing, additional use of decoding module, reducing the length of the bit line, and can be decoded by the address block, reducing unnecessary decoding circuit higher over so decoding module efficiency, dramatically reducing the overall power consumption of SRAM. 4. Summary This paper finished a 512 32 SRAM circuit design; the aim was highly reliable, high speed, and low power consumption design, and separately with the commercial compiler SRAM performance comparison by circuit design and verification. At an equal speed, power consumption was lower than memory compiler SRAM. It shows that this design has achieved satisfactory results; the full-custom design of SRAM is very successful. Some good design methods were also proposed; it was worth to be used in other reference full-custom design. Acknowledgements This work was supported by the National Science Foundation of China (Grant No. 61504169) and the Preliminary Research Program of National University of Defense Technology of China (Grant No. 0100066314001). References [1] Rabaey, J.M. and Chandrakasan, A. (2004) Digital Integrated Circuits: A Design Perspective. 2nd Edition. [2] Nalam, S., Chandra, V., Pietrzyk, C., Aitken, R.C. and Calhoun, B.H. (2010) Asymmetric 6T SRAM with Two-Phase Write and Split Bitline Differential Sensing for Low Voltage Operation. Proc. 11th Int. Symp. Qual. Electron. Des. (ISQED), March 2010, 139-146. http://dx.doi.org/10.1109/isqed.2010.5450400 [3] Jeong, H., Kim, T., Song, T., Kim, G. and Jung, S.-O. Trip-Point Bit-Line Precharge Sensing Scheme for Single-Port SRAM. IEEE Transactions on VLSI Systems. [4] Javanifard, J. (2008) A 45 nm Self-Aligned-Contact Process 1 Gb NOR Flash with 5 MB/s Program Speed. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2008, 424-624. [5] Giridhar, B., Pinckney, N., Sylvester, D. and Blaauw, D. (2014) 13.7 A Reconfigurable Sense Amplifier with Auto- Zero Calibration and Pre-Amplification in 28 nm CMOS. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2014, 242-243. [6] Verma, N. and Chandrakasan, A.P. (2008) A High-Density 45 nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2008, 380-621. 168