Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble

Similar documents
Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

CHAPTER 3 NEW SLEEPY- PASS GATE

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Very Large Scale Integration (VLSI)

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

An Efficient Layout Style for Three Metal CMOS Macro-Cells

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

Enhancement of Design Quality for an 8-bit ALU

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

TEACHING UNDERGRADUATES TO DESIGN WITH VHDL

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

Lecture Perspectives. Administrivia

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Course Outcome of M.Tech (VLSI Design)

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

LSI Design Flow Development for Advanced Technology

Digital Integrated Circuits Perspectives. Administrivia

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General

IT IS BELIEVED that in today s logic designs, interconnects

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Microelectronics, BSc course

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Power Benefit Study for Ultra-High Density Transistor-Level Monolithic 3D ICs

Design and Implementation of Complex Multiplier Using Compressors

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

Mixed Signal Virtual Components COLINE, a case study

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

Digital Systems Design

EE 434 ASIC & Digital Systems

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Chapter 3 Chip Planning

Lecture 0: Introduction

Interconnect-Power Dissipation in a Microprocessor

An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages

Sticks Diagram & Layout. Part II

Lecture 9: Cell Design Issues

EE141-Spring 2007 Digital Integrated Circuits

+1 (479)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

Low-Power Digital CMOS Design: A Survey

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

MHz phase-locked loop

Gdi Technique Based Carry Look Ahead Adder Design

Digital Integrated Circuits 1: Fundamentals

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Design Rules, Technology File, DRC / LVS

Low Power Design Methods: Design Flows and Kits

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

CS 6135 VLSI Physical Design Automation Fall 2003

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Low Power, Area Efficient FinFET Circuit Design

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments.

Leakage Power Minimization in Deep-Submicron CMOS circuits

Design and Analysis of Low Power 2-bit and 4-bit Digital Comparators in 45nm and 90nm CMOS Technologies

A Novel Low-Power Scan Design Technique Using Supply Gating

Introduction to Electronic Design Automation

High Performance Low-Power Signed Multiplier

Digital Signal Processing for an Integrated Power-Meter

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

Comparison of Multiplier Design with Various Full Adders

Introduction to deep-submicron CMOS circuit design

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

420 Intro to VLSI Design

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder

Lecture 1. Tinoosh Mohsenin

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

Mapping Multiplexers onto Hard Multipliers in FPGAs

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

Routability in 3D IC Design: Monolithic 3D vs. Skybridge 3D CMOS

DESIGN AND IMPLEMENTATION OF A STANDARD CELL LIBRARY FOR BUILDING ASYNCHRONOUS ASICS

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

Transcription:

1 Electronic Design Automation at Transistor Level by Ricardo Reis Preamble

1 Quintillion of Transistors

90 65 45 32 NM

Electronic Design Automation at Transistor Level Ricardo Reis Universidade Federal do Rio Grande do Sul Instituto de Informática - Porto Alegre - RS - Brasil reis@inf.ufrgs.br

UTLINE 1. Introduction 2. History 3. Standard Cell 4. CMOS Complex Gates 5. Layout Synthesis 6. Layout Strategies 7. Experimental Results 8. Conclusions

History Logic Design Evolution Years 70 : microprocessors hand made computer used just as graphical input End Years 70: Random Logic Z8000 ROMs, PLAs M68000 Years 90: ROMs, PLAs Standard Cell 486, Pentium

History Design Automation ESL - Electronics System Level Design Automation UML System C RTL (VHDL, Verilog) Logic Netlist Place & Route (Standard Cells)

ntroduction Nowadays Physical Design using Standard Cell is a common practice Should we look for another approach? Why?

Standard Cell Approach s it a layout automated approach? NO!

Standard Cell Approach Cell characterization Cell performance predictability

But nowadays cell predictability is not anymore sufficient to have circuit predictability Connections becomes the central problem!

Standard Cell Approach Logic Options Limited to Cells Available in the Library No optimal logic minimization Cells oversized Area

Standard Cell Approach Far from Minimization on: - Area - Number of Transistors - Wirelenght - Delay - Power

Change of Paradigm

Cell Generation on-the-fly

History Logic Design Evolution Years 70 : microprocessors hand made computer used just as graphical input End Years 70: Random Logic Z8000 ROMs, PLAs M68000 Years 90: ROMs, PLAs Standard Cell 486, Pentium Next Step: Standard Cell Random Logic Automatic Layout of Cells-on-the-fly

Full Custom GND VCC GND Zilog Z8000 detail of the control part using random logic VCC

Full Custom VCC Strip Structure GND Detail of the control part of TMS7000 implemented with random logic

Standard Cell Approach X Cell On-the-fly Approach Transistor Level Design Automation

challenge To develop a CAD system for the automatic physical design of integrated circuits tuned for the requirements of submicron technologies: smaller area smaller delay (wirelenght reduction) (wirelenght reduction) less power consumption

Connections becomes the central problem! Challenge: how to reduce wirelength?

Challenge: how to reduce wirelength? - area reduction - use of complex gates (SCCG) - improvement of routing and placement algorithms

Using Static CMOS Complex Gates (SCCG) with cell generation on-the-fly It is possible do to an extreme logic minimization Freedom to Logic Designers!!!!

Example A B C D S S = A + ( B + (C+D)) A B S C D 14 Transistors

Use of SCCG S = A + ( B + (C+D)) S = A + ( B.(C+D)) B D C A A S B C S A D D B C 8 Transistors

Use of SCCG S = A + ( B + (C+D)) S = A + ( B.(C+D)) A A B C D S B C D S 14 Transistors 8 Transistors

Automatic Layout Synthesis Using Complex Gates (SCCG) NUMBER OF SERIAL NMOS TRANSISTORS NUMBER OF SERIAL PMOS TRANSISTORS 1 2 3 4 5 1 1 2 3 4 5 2 2 7 18 42 90 3 3 18 87 396 1677 4 4 42 396 3503 28435 5 5 90 1677 28435 125803

ower eduction I leakage is become important in submicron circuits. It is function of the number of transistors

Routing the solutions produced by academic and industrial tools are in average within 1.43 to 2.38 times the optimal solutions considering wirelength C-C. Chang, J. Cong, M. Xie. Optimality and Scalability of Existing Placement Algorithms. ASPDAC 2003

FOTC Routing FOTC approach (Full-Over-The-Cell Routing) All conections are over the active zones

ayout trategies

Layout Strategies - transistor topologies - management of routing in all layers - VCC and Ground distribution - clock distribution - contacts and vias management - body ties management - transistor sizing and folding

Layout Strategies Transistor topologies - horizontal - vertical - doglegs (different directions) - folding

Transistor Folding 35

Transistor Folding 36

Layout Strategies Routing Management - priority tracks schema - routing layers priority - routing layers directions

Layout Strategies VCC and Ground Distribution - borders of the strip - middle of strips (between P and N diffusions) - over the transistors Layer (metal 1, metal 2,...)

Power Lines over the transistors TROPIC3

Power Lines between P and N plans Optimized Jog in polysilicon wire Aligned pins with jog in polysilicon. Transistor not aligned Not aligned pin P diffusion Connetion between N and P plan in metal1 vcc (metal2) gnd (metal2) N diffusion Over-the-cell routing Metal1 to connect supply line TROPIC3

Power Lines at the Strip Borders Parrot1

Layout Strategies - contacts and vias management - body ties management

Layout Strategies contacts and vias management (towers of vias)

Compaction Results Summary: 8150 Variables 11463 Constraints runtime: 20 segs Weights: Diffusion 3 Poly 3 Metal 1 Transistor-Level Automatic Layout Generation of Radiation-Hardened Circuits

Compaction Results Poly lines Reduction Transistor-Level Automatic Layout Generation of Radiation-Hardened Circuits

Compaction Results Metal lines Reduction Transistor-Level Automatic Layout Generation of Radiation-Hardened Circuits

Compaction Results Diffusion Reduction Transistor-Level Automatic Layout Generation of Radiation-Hardened Circuits

Physical Design Flow

Logic Netlist Partitioning and Placement Routing Cell Generation Automatic Characterization Timing Power Circuit Layout

Layout Generation Flow Circuit Placement Specifications Design Rules Transistor Placement Layout Generation Routing Layout 50

Wirelength Placement with wirelength reduction

Congestion Congestion is an important problem because it can forbid a complete routing Routability

Compromise: Routability and Wirelength Reduction

Parrot Layout Style

Layout Generated Automatically with Parrot Tool Suite

432 TROPIC PARROT 804 transistors Delay: -26.6 % Area: -41.3 %

499 TROPIC PARROT 1556 transistors Delay: - 26.0 % Area: - 37.3 %

880 TROPIC PARROT 1802 transistors Delay: -22.0 % Area: -37.5 %

1355 TROPIC PARROT 2308 transistors Delay: -21.1 % Area: -33.2 %

Results: Layouts --- 61

Results: Layouts --- ( transistors JK1 (34 62

ADD32

Adder Adder Mux Register

Results: Layouts Non-Complementary Logic ( transistors LBBDD_0117177F177F7FFF (68 Runtime: 36 min L.S.da Rosa Jr., F.Marques, T.M.G.Cardoso, R.P.Ribas, S.S.Sapatnekar, A.I.Reis, Fast Transistor Networks from BDDs. SBCCI 2006, pp. 137-142. 65

Data Path Design Automation

Multiplier Carry-Save 4x4 Standard Cell (Cadence Flow) Generated with our Data Path Compiler

Multiplier Carry-Save 4x4 Standard Cell Cell Compiler Gain (%) Number of Cells 52 28 46 Number of Transistors 634 376 59.3 Area (µm 2 ) 6716 5070 24.50 Delay (ps) 2174 1896 12.8 Power (mw) 6.45 3.97 61.55

Conclusions

Conclusions Cell generated on-the-fly target to their environment Area reduction Reduction on the number of transistors Cell library free Wirelength minimization Power Reduction Delay Reduction

Conclusions Let s do Transistor Level Design Automation

Electronic Design Automation at Transistor Level Ricardo Reis Universidade Federal do Rio Grande do Sul Instituto de Informática - Porto Alegre - RS - Brasil reis@inf.ufrgs.br