Design and Implementation of BPSK Modulator and Demodulator using VHDL

Similar documents
Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

BPSK System on Spartan 3E FPGA

Design of Digital Baseband Subsystem for S-Band Transponder

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of QAM and ASK Digital Modulation Techniques

SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.)

EVALUATING PERFORMANCE OF DIFFERENT MODULATION SCHEMES ON MODIFIED COOPERATIVE AODV

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

FPGA based generalized architecture for Modulation and Demodulation Techniques

Optimized BPSK and QAM Techniques for OFDM Systems

Implementation of Digital Modulation using FPGA with System Generator

Design and Implementation of 4-QAM Architecture for OFDM Communication System in VHDL using Xilinx

FPGA Realization of Gaussian Pulse Shaped QPSK Modulator

Implementation of Digital Communication Laboratory on FPGA

International Journal of Advanced Research in Computer Science and Software Engineering

The figures and the logic used for the MATLAB are given below.

REAL TIME IMPLEMENTATION OF FPGA BASED PULSE CODE MODULATION MULTIPLEXING

Simulation and Verification of FPGA based Digital Modulators using MATLAB

Design of a Digital Transmission System Using ASAK for the Transmission and Reception of Text Messages Using LABVIEW

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

Versuch 7: Implementing Viterbi Algorithm in DLX Assembler

Performance Measurement of Digital Modulation Schemes Using FPGA

System Generator Based Implementation of QAM and Its Variants

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm

Available online at ScienceDirect. Procedia Technology 25 (2016 )

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope.

Software-Defined Radio using Xilinx (SoRaX)

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

Implementation of a Block Interleaver Structure for use in Wireless Channels

Downloaded from 1

Design of 2 4 Alamouti Transceiver Using FPGA

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

An FPGA based Implementation of Baseband and Passband Modulation for Wireless Transmitters

FPGA Implementation of PAPR Reduction Technique using Polar Clipping

Design and Simulation of a Composite Digital Modulator

VLSI Implementation of Digital Down Converter (DDC)

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS

CARRIER LESS AMPLITUDE AND PHASE (CAP) ODULATION TECHNIQUE FOR OFDM SYSTEM

DESIGN OF A VERIFICATION TECHNIQUE FOR QUADRATURE PHASE SHIFT KEYING USING MODEL SIM SIMULATOR FOR BROADCAST COMMUNICATION RELEVANCE S

A Simulation of Wideband CDMA System on Digital Up/Down Converters

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS

German Jordanian University Department of Communication Engineering Digital Communication Systems Lab. CME 313-Lab

Implementation of Space Time Block Codes for Wimax Applications

A PROTOTYPING OF SOFTWARE DEFINED RADIO USING QPSK MODULATION

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

2. TELECOMMUNICATIONS BASICS

Integration of System Design and Standard Development in Digital Communication Education

Department of Electronics & Telecommunication Engg. LAB MANUAL. B.Tech V Semester [ ] (Branch: ETE)

Design & Implementation of an Adaptive Delta Sigma Modulator

Implementation of A Low Power Low Complexity VLSI Architecture for DSSS Signal Transmission and Reception.

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

Design of Low power Reconfiguration based Modulation and Demodulation for OFDM Communication Systems

An Optimized Design for Parallel MAC based on Radix-4 MBA

Amplitude Frequency Phase

Laboratory 5: Spread Spectrum Communications

Implementation of Re-configurable Digital Front End Module of MIMO-OFDM using NCO

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Chapter 4. Part 2(a) Digital Modulation Techniques

FPGA Based, Low Cost Modulators of BPSK and BFSK, Design and Comparison of Bit Error Rate over AWGN Channel

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator


Implementation of Blind Modulation Detection for Software defined Radio

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

Realization of Programmable BPSK Demodulator-Bit Synchronizer using Multirate Processing

Implementation of a BPSK Transceiver for use with KUAR

Implementation of a Telecommunications Trainer System. by: Shaima Abdelmageed T94765

A Modular Approach to the Design of the Soft Output Viterbi Algorithm (SOVA) Decoder

Implementation of Acoustic Communication in Under Water Using BPSK

Research Article. Amiya Karmakar Ȧ,#, Deepshikha Mullick Ḃ,#,* and Amitabha Sinha Ċ. Abstract

Decision Based Median Filter Algorithm Using Resource Optimized FPGA to Extract Impulse Noise

FPGA based Asynchronous FIR Filter Design for ECG Signal Processing

Design and Implementation of Programmable Sine Wave Generator for Wireless Applications using PSK/FSK Modulation Technique

FPGA Implementation of Viterbi Algorithm for Decoding of Convolution Codes

BSc (Hons) Computer Science with Network Security. Examinations for Semester 1

IJITKMI Volume 6 Number 2 July-December 2013 pp FPGA-based implementation of UART

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Presentation Outline. Advisors: Dr. In Soo Ahn Dr. Thomas L. Stewart. Team Members: Luke Vercimak Karl Weyeneth

Midterm Exam ECE 448 Spring Thursday Section. (15 points)

AN IMPROVED NEURAL NETWORK-BASED DECODER SCHEME FOR SYSTEMATIC CONVOLUTIONAL CODE. A Thesis by. Andrew J. Zerngast

BINARY AMPLITUDE SHIFT KEYING

Serial and Parallel Processing Architecture for Signal Synchronization

Class 4 ((Communication and Computer Networks))

ROM/UDF CPU I/O I/O I/O RAM

UNIT-1. Basic signal processing operations in digital communication

OFDM Systems For Different Modulation Technique

Comparison of BER for Various Digital Modulation Schemes in OFDM System

VHDL Modeling, Simulation and Prototyping of a Novel Arbitrary Signal Generation System

Chapter-1: Introduction

A Novel Low-Power High-Resolution ROM-less DDFS Architecture

Design of Xilinx Based Telemetry System Using Verilog

Transcription:

Design and Implementation of BPSK Modulator and Demodulator using VHDL Mohd. Amin Sultan Research scholar JNTU HYDERABAD, TELANGANA,INDIA amin.ashrafi@yahoo.com Hina Malik Research Scholar ROYAL INSTITUTE OF TECHNOLOGY & SCIENCE.G.H.R.I.E.T.W Nagpur University, M.S,INDIA hina.malik184@gmail.com Abstract: This paper give the brief description of digital communication system.digital communication is more reliable, secure and efficient than that of analog communication.indigitalcommunication,bpsk is most important andefficient technique in terms of signal power. In this paper BPSK modulator and demodulator are purely design by using hardware description language (VHDL) and implementing it on Spartan 3E FPGA kit ***** I. INTRODUCTION In the last years, a major transition from analog to digital modulation techniques has occurred and it can be seen in all areas of wireless communication, satellite and cellularsystems. A digital communication system is more reliable than an analog. The aim of the paper is to create a BPSK (Binary Phase Shift Keying) modulator and demodulator using Xilinx ISE 12.3 software. BPSK consist of modulator a channel and demodulator. The modulated signal was achieved in the first Spartan 3E board, passed through a channeland transmitted to the second board, which behaves as a demodulator. II. THEORETICAL BACKGROUNDS A. Digital Communication System: Figure 1. A Digital Communication System The typical block diagram of digital communication system is presented in Fig.1.The digital communication system consist of both digital and analog parts. The digital part consists of digital source/user, source encoder/ decoder, channel encoder/decoder and the digital modulator or demodulator. The analog part is made of the transmitter, receiver, the channel models and noise models. The message to be sent is from a digital source, in our case, from a computer. The source encoder accepts the digital data and prepares the source messages. The role of the channelencoder isto map the input symbol sequence into an output symbol sequence. The binary information obtained at the output of the channel encoder is than passed to a digital modulator which serves as interface with the communication channel. The main purpose of the modulator is to translate the discrete symbols into an analog waveform that can be transmitted over the channel. In the receiver, the reverse signal processing happens. A channel is the physical medium that carries a signal between the transmitter and the receiver. The signal is corrupted with noise whatever the medium used for transmission. In the receiver, the reverse signal processing happens. A channel is the physical medium that carries a signal between the transmitter and the receiver. The signal is corrupted with noise whatever the medium used for transmission.the role of a digital communication system is to transport digital data between the transmitter and receiver. As the signals propagate between the two nodes, they may be submitted to distortion due the channel imperfection. The digital data is transmitted between the transmitter and the receiver by varying a physical characteristic of a sinusoidal carrier, either the frequency or the phase or the amplitude. This operation isperformed with a modulator at the transmitting end to impose the physical change to the carrier and a demodulator at the receiving end to detect the resultant modulation on reception. B. Basic BPSK Modulator and Demodulator Digital modulation is the process by which digital symbols are transmitted into waveforms that are compatible with thecharacteristics of the channel. The modulation process converts the signal in order to be compatible with available transmission facilities. At the 152

receiver end, demodulationmust beaccomplished by recognizing the signals. The modulation technique used in this project is BPSK (Binary Phase Shift Keying) and it is widely used in digital transmission.. BPSK modulation is the simplest form and most robust of all the PSK modulation techniques. The BPSK modulator is quite simple and is illustrated in fig.2. The binary sequence m(t) or modulating signal is multiplied with a sinusoidal carrier and the BPSK modulated signal s(t) is obtained. The output of the BPSK signal generated by the modulator isshown in Fig 3. Figure 2.BPSKModulator Feedback Shift Register). DDS Compiler Block is a direct digital synthesizer which is the main part of the system which is use to generates the carrier i.e sinusoids.dds uses a lookup table scheme to generate sinusoids. A digital integrator generates a phase that is mapped by the lookup table into the output waveform. The mux block implements a multiplexer. It has one select input and a configurable number of data inputs that can be defined by the user. The d0 and d1 inputs of mux represent the sine waves. The sel input of mux represents the modulating signal and selects between the d0 and d1 inputs. If LFSR is 1, the modulated signal remained same as the carrier, but if 0 was transmitted,then the carrier is shifted by 180º phase shift.at the output we will get the modulated signal. The expected waveforms at the modulator side are shown in figure6.results of modulator and its different blocks are shown in fig 10. Figure 3. BPSK Modulator output At the receiver side to demodulate the signal, it is necessary to reconstitute the carrier. This process is made in the Carrier Recovery Circuit. Next, the BPSK modulated signal is multiplied with the carrier, pass through an integrator and thendecision circuit will give the modulating signal at the end. Figure 5. BPSK Modulator Figure6.(1)carrier signal(2) 180º phase shift carrier(3)modulating signal(4)modulated signal IV. Design of BPSK Demodulator: Figure 4. BPSK Demodulator III. Design of BPSK Modulator: Block diagram of BPSK modulator is shown in figure 5.It consists of DDS Compiler, LFSR, and a mux. The modulating signal which are in the form of binary digits i.e. 0 and 1, are generated internally by a LFSR (Linear Block diagram of BPSK demodulator is shown in figure 7.The modulated signal which was transmitted by modulator is then pass through the channel and received at the input of demodulator. The carrier is recovered due to the DDS compiler and then multiplied with the modulated signal affected by noise. The obtained signal is then added with all the samples, multiplied, from a period. This operation takes place in the accumulator. Once we have a result, it is compared with a threshold. 153

If the compared signal is positive, the demodulator takes the RESULTS: decision that 1 was transmitted, otherwise, 0 is the result. 1) Modulator part: Figure 8 illustrates the expected modulating signal generated in the modulator and the demodulated signal obtained after the demodulation operation. Fig 10(a) represent the linear feedback shift register which is use to generate the input data.fig 10(b) illustrate the output waveform and 8bit sequence of LFSR. Figure 7. BPSK Demodulator Fig 10(a). LFSR RTL view Figure 8. (a) The modulating signal (b) The demodulated signal V. Design of BPSK System On The SPARTAN 3E Board: The BPSK system on FPGA kit is shown in figure 9. It consists of two Spartan 3E boards, first behaves as a modulator and the second one, as a demodulator. The connections between the two boards are made of three wires: first comports as a communication channel, the second as an asynchronous reset signal and the last one for the synchronization of the two boards. Fig 10(b).LFSR Output waveform Fig 10(c) represent the carrier generation block which is use to generate the sinusoidal waveform..fig 10(d) illustrate the sinusoidal waveform. Fig 10 (c). RTL view of DDS Compiler Figure 9.BPSK System. 154

Fig 10 (d). DDS Compiler output waveform Fig 10(e) shows the complete modulator block.the output waveform of modulator in Xilinx is shown in fig 10 (f).fig 10(g) shows the modulator output using Modelsim software to clearly see the sinusoidal waveforms. Fig 10(g) Modulator output in models in software 2) Demodulator part: Fig 11. Shows the complete demodulator block in which fisrt block is the sine wave generation block which is use to recover the strength of input signal, second block is the multiplier and third block is the accumulator. Fig 10(e).RTL View of Modulator Fig 11.RTL View of Demodulator 3)Combine modulator and demodulator System: Fig 12(a) represent the connection between modulator and demodulator. The output of modulator is given to the input of demodulator. Similarly fig 12(b) shows the internal blocks of modulator and demodulator. The final output of BPSK system is shown in fig 12(c) in which first signal is the input signal and second signal is the output of demodulator. Fig10 (e) Modulator output in Xilinx software 155

device utilization and timing statistics for the synthesized design. Fig 12 (a).rtl view of combine modulator and demodulator Device Utilization Summary Logic Utilization Used Availa ble Utiliza tion Number of Slices: 111 768 14% Number of Slice Flip Flops 29 1536 1% Number of 4 input LUTs 208 1536 13% Number used as logic 207 Number used as Shift 1 registers Number of IOs 27 Number of bonded IOBs 27 124 21% Number of GCLKs 1 8 12% Fig 13.Design Summary of the BPSK Modulator Device Utilization Summary Logic Utilization Used Availa Utiliza ble tion Number of Slices: 157 768 20% Number of Slice Flip Flops 51 1536 3% Number of 4 input LUTs 305 1536 19% Number of IOs 11 Number of bonded IOBs 11 124 8% Number of GCLKs 1 8 12% Fig 14.Design Summary of the BPSK Demodulator Fig 12(b).RTL View of modulator & demodulator system Fig 12 (c) Output waveform of modulator and demodulator Fig.13 and 14 illustrate the design summary of modulator and demodulator board. The design summary shows the various synthesizer option that were enabled and some VI. Conclusion: We represent the BPSK system (modulator and demodulator) using VHDL and implementation of the BPSK System on FPGA kit. The FPGA was selected as, compared to microcontrollers, it provides a larger number of input/output ports and the parallel implementation of hardware results in faster algorithm execution. Both, the modulating signal and the carrier are generated internal, the modulating signal by a LFSR and the carrier by a DDS Compiler. The modulated signal is obtained at the output of a mux block and, then, passed through a communication channel where noise is added. In the demodulator, the carrier is recovered due to another DDS compiler and then multiplied with the modulated signal affected by noise. The obtained signal is then added with all the multiplied samples from the carrier in a period. The operation takes place in the accumulator. Once we have a result, it is compared with a decision threshold. If the compared signal is positive, the demodulator take the decision that 1 was transmitted, otherwise, 0. 156

. REFERENCE [1] S.O. Popescu, A.S.Gontean and G.Budura, BPSK System on Spartan 3E FPGA SAMI 2012 10th IEEE Jubilee International Symposium on Applied Machine Intelligence and Informatics January 26-28, 2012 Herl any, Slovakia. [2] F.Ahamed, A.Scorpino, An educational digital communications project using FPGAs to implement a BPSK Detector, IEEE Transactions on Education, Vol.48, No.1, 2005, pp.191-197. [3] Thotamsetty M Prasad1, Syed Jahangir Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719, www.iosrjen.org Volume 2, Issue 10 (October 2012), PP 54-58 [4] O.Azarmanesh, S.Bilen, Developing a rapid prototyping method using a Matlab/ Simulink/ FPGA development to enable importing legacy code, Proceedings of the SDR 08 Technical Conference and product Exposition, USA, 2008. [5] Y.H.Chye, M.F.Ain, N.M.Zawawi, Design of BPSK Transmitter Using FPGA with DAC, in Proceedings of the 2009 IEEE 9th Malaysia Conference on Communications, Malaysia, 2009, pp.451-456. [6] P.Dondon, J.M.Micouleau, J.Legall,.K.Kadionik, Design of a low cost BPSK modulator/demodulator for a practical teaching of digital modulation techniques, in the 4th WSEAS/IASME International Conference on Engineering Education, Greece, 2007, pp.61-66. [7] P.Krivić, G.Štimac, FPGA Implementation of BPSK Modem for Telemetry Systems Operating in Noisy Environments, Proceedings of the 33rd International Convention on Information and Communication Technology, Electronics and Microelectronics, Croatia, 2010, pp.1727-1731. 157